A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

Size: px
Start display at page:

Download "A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS"

Transcription

1 A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS G.Lourds Sheeba Department of VLSI Design Madha Engineering College, Chennai, India Abstract - This paper investigates the enhancement in the performance of a variable body biasing design with low power clocking system using MTCMOS. Clock gating (CG) is the most common and widely used technique to reduce dynamic power, and power gating (PG) is the dominant technique to reduce standby leakage power. The problem with the design is the dynamic and leakage power consumption during the active and standby mode. In the proposed power gating method Variable body biasing approach is used for reducing the active and standby leakage. An optimized busspecific-clock-gating(obsc) scheme to improve traditional XOR-based CG. It chooses only a subset of flip-flops (FFs) to be gated selectively, and the problem of gated FF selection is reduced from exponential complexity into linear. Then those combinational logics, which completely depend on the outputs of gated FFs, are performing redundant operations. Integration of OBSC and PG, which can be reduce dynamic power and active leakage power simultaneously. The proposed method is simulated in MICROWIND. The proposed OBSC and variable body biasing PG method reduces the dynamic and leakage power while compare with existing CG and PG method. Index Terms - Clock Gating (CG), Bus Specific Clock Gating (BSC), Optimized Bus Specific Clock Gating (OBSC), Power Gating (PG), Run Time Power Gating (RTPG). I. INTRODUCTION With the progress of CMOS technology, there is a steady growth in clock frequency and chip capacity. As a result, the power dissipation of digital CMOS design has Mrs. T. C. Sabareeswari Department of ECE Madha Engineering College, Chennai, India increased tremendously [1]. As a result, low power techniques are highly appreciated in current VLSI design. Power consumption consists of dynamic power and leakage power, and leakage power can be divided into standby leakage and active leakage. Dynamic power is consumed by a circuit during its operation mode (while the circuit has input toggles). Leakage power dissipated in sleep mode (when the circuit does not have input toggles) is normally referred to as standby leakage, while the leakage power consumed in operation mode is active leakage. Clock gating (CG) [2] [4] is the most common and widely used technique to reduce dynamic power, and power gating (PG) [5] is the dominant technique to reduce standby leakage power. As active leakage power becomes more and more important [6], [7], it also requires care. In order to distinguish it from the traditional PG, which is used to reduce the standby leakage, the PG to minimize active leakage power in the operation mode is referred to as run time power gating (RTPG) [7]. CG is a technique used to gate the unnecessary clock toggles of a register. During the clock gated period, there are some components that are performing redundant operations, and RTPG will put these components into sleep. There are several researchers focusing on the integration of CG and RTPG [6] [9]. All of their designs are based on clock gated designs generated after synthesis, and they evaluate the feasibility of RTPG according to the signal activity of the design. However, it is possible that a design cannot be clock gated during synthesis. Then their approach cannot be used. Moreover, with the signal activity of the design, CG should also be analyzed to determine if dynamic power is reduced

2 If dynamic power is increased, the total power may increase even if active leakage power is reduced. In this brief, we have proposed an activity-driven fine-grained CG and RTPG integration, which can reduce dynamic power and active leakage power simultaneously. An activity-driven optimized bus specific CG (OBSC afterward) is used to maximize dynamic power reduction at RT level before synthesis. It chooses only a subset of flip-flops (FF) to be gated selectively, and the problem of gated FF selection is reduced from exponential complexity into linear. After the OBSC is applied to the design, the components performing redundant operations during the clock gated period are determined by forward traversing the circuit from the gated FF outputs. These components will be power gated using the clock enable signal generated by OBSC only if the implementation of RTPG can reduce active leakage power. The feasibility analysis of RTPG is based on our proposed minimum average idle time concept. A. CG Basics II. BACKGROUND Fig. 1(a) is a typical non-cg circuit and Fig. 1(b) is its traditional XOR-based CG circuitry [bus-specific-clockgating (BSC) afterwards]. BSC circuit compares the inputs and outputs, and gates the clock when they are equal. BSC can be used as a final CG option to reduce dynamic power when no CG can be applied during synthesis. However, BSC is far from optimal in terms of dynamic power minimization, and the partial BSC (PBSC afterward) circuit [Fig. 1(c)] may have much less power. The rest of this brief is organized as follows. Section II gives an introduction to CG and PG basics. The proposed activity-driven OBSC is presented in Section III. Section IV. explains the details on how to implement PG after OBSC. Section V explains the details about variable body biasing method. Experimental results are given in Section VI, and this brief is concluded in Section VII. (b) (c) (a) Fig. 1, (a) Non-CG circuit.(b) BSC circuit. (c) PBSC circuit

3 B. PG Basics The most basic PG structure (a single footer) to reduce the leakage power, as shown in Fig. 2. The sleep signal that controls the footer in traditional PG is provided by an independent power management block. In this brief, we do not consider this type of sleep signal. Instead, the sleep signal of RTPG we focus on is generated by CG in operation mode. It is used to turn off the components that are executing redundant operations in operation mode. 4) OP_IV neither clock nor input data toggles. Suppose their corresponding power are POP_I, POP_II, POP_III, and POP_IV, (in fact, POP_IV is 0 since no input toggles) respectively, and their corresponding percentage of the circuit operation time is top_i, top_ii, top_iii, and top_iv (top_i + top_ii + top_iii + top_iv = 1), then the power of a D FF/latch can be calculated as Pseq = POP_I top_i + POP_II top_ii + POP_III top_iii. (1) In conclusion, given the power models that can be obtained in advance (i.e., Pcomb unit, POP_I, etc), the power of either combinational logic or sequential logic in the PBSC circuit Fig. 1(c) can be estimated with the information of the toggle rates of appropriate signals. B. Activity-Driven OBSC Fig. 2, PG scheme. III. ACTIVITY-DRIVEN OPTIMIZED BUS- SPECIFIC CG A. Sequential Logic Power Model The sequential logic is normally a latch or a D FF. The power estimation of the sequential logic cannot be evaluated by the above technique [10]. We propose a new way to measure the power of a sequential logic in this brief. For a D FF/latch, its operation per each clock cycle can be classified into four categories: 1) OP_I both clock and input data toggle; 2) OP_II only clock toggles; 3) OP_III only input data toggles Suppose there are N FFs in the non CG circuit, each FF can be chosen as gated or non gated. So there will be 2N possible CG solutions. One of the contributions of this brief is that this exponential complexity problem is reduced into linear by using the signal activity information. Assume that all the FFs are chosen to be gated initially, then the problem becomes how to determine which FFs should be excluded from gating. Heuristically, the FF with the maximum output data toggle rate should be excluded from gating first. This is because that maximum output data toggle rate indicates that minimum clock toggles will be gated, thus power will reduce least or even increase if the FF is gated. More formally, the FF with the maximum output toggle rate is excluded from gating first, then the FF with the second largest output toggle rate is excluded and so on until all the FFs are excluded (i.e., the original non CG circuit). Apparently, during the process of exclusion, there will be N+1 possible CG solutions (one non-cg circuit and N PBSC circuits) which is linear complexity. PBSC is the effective method for reducing dynamic power because it reduces unwanted switching activity in FFs. It uses clock gating and non clock gating circuit. So it avoids high power consumption

4 completely dependent on the stable gated FF outputs, so they are not active and can be power gated into sleep. However, one input of the XOR gate i is the output of un gated FF A, and one input of the AND gate h is the primary input. Since both the un gated FF output and PI may not be stable during the clock gated period, the XOR gate i and the AND h may be active. So they should not be power gated. In order to avoid floating signal, a holder should be placed at the output of each power gated cell if that output connects to non power gated cells or primary outputs (POs). For example, in Fig. 4, two holder logics should be added to the outputs of the two NOT gates separately. Fig.3, Basic scheme of proposed OBSC technique IV. INTEGRATION OF CG AND RTPG A. Run Time PG Assume that applied OBSC technique to a design, then a subset of FFs is clock gated. During the clock gated period, the outputs of the gated FFs are stable. Consequently, those combinational logics whose inputs only depend on gated FF outputs (forward traversing from the gated FF outputs) will be inactive and can be power gated. For each output of the power gated cell, we need to check if it has connection to primary output, or non power gated cells. If yes, a holder logic should be added in order to avoid signal floating. 1) Forward Traversing Example: In order to understand it clearly, an example is given in Fig. 4. Suppose that four out of five FFs are clock gated. The circled cells are 638

5 power gated. Holders are placed between the power gated cells and the non power gated cells so that the non power gated cells can function properly. Fig. 4, Forward traversing example Fig. 5, Integration of CG and RTPG. B. Integration of CG and RTPG If RTPG is determined to be applied, a footer (high-vth CMOS transistor) between the actual ground and virtual ground of the power gated cells should be added. After the integration of CG and RTPG, the low power design should look like Fig. 5.As we can see, the enable signal generated from OBSC is used as the sleep signal for the PG. The cells that are totally dependent on gated FF outputs are V VARIABLE BODY BIASING METHOD To reduce the leakage current in the sleep mode we ensured that the body to source voltage of the sleep transistor is increased. To do that we added a PMOS (M2) and a NMOS (M5) in the previously discussed sleepy keeper circuit (Fig.6). During sleep mode PMOS (M2) is OFF so 639

6 the body to source voltage of the pull up PMOS (M1) is higher than in the active mode. As a result of Body effect, Vth also increases which lowers the performance. During the active mode, the performance is improved as the PMOS (M2) is ON which makes the V th of the pull up PMOS (M1) lower again. The same discussion is applicable for the pull down NMOS (M4) and NMOS (M5). The remaining NMOS (M3) and PMOS (M6) works together for retaining the state in the sleep mode. If the output is high, in the sleep mode, the NMOS (M3) will keep the output high. Similarly, the PMOS (M6) will maintain the state in sleep mode if the output is low. Fig. 7, Forward traversing example waveform It is an integration of both CG and PG circuit. Here NMOS sleep transistor is used to reduce the leakage current. So the power is 0.321mW. It is shown in fig 8. Fig. 6, Variable Body Biasing Approach VI. EXPERIMENTAL RESULTS The proposed method of combining OBSC and RTPG has been tested on circuits. The active leakage power Fig. 8, Integration of CG and PG waveform It is an integration of both OBSC and variable body biasing approach. It reduces both active and standby leakage. The power is µW is estimated by MICROWIND 2.1 Simulation. It is a forward traversing circuit. It consumes more power.the power is 0.357mW. It is shown in fig

7 REFERENCES Fig.9, Integration of OBSC and Variable body biasing approach. TABLE I EXPERIMENTAL RESULTS FOR DYNAMIC POWER REDUCTION AND LEAKAGE REDUCTION BY VARIOUS APPROACHES S. No Method Time Power 1 CG only 50 ns 0.357mW 2 Integration of OBSC and 50 ns 0.321mW PG 3 Integration of OBSC and 50 ns Variable body biasing µW approach [1] H. Sutter. (2005). The Free Lunch is Over [Online]. Available [2] S. Jairam, M. Rao, J. Srinivas, P. Vishwanath, H. Udayakumar, an J. Rao, Clock gating for power optimization in ASIC design cycle theory & practice, in Proc. Int. Symp. Low Power Electron. Design, Aug. 2008, pp [3] P. Babighian, L. Benini, and E. Macii, A scalable algorithm for RTL insertion of gated clocks based on ODCs computation, IEEE Trans.Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 1, pp , Jan [4] R. Fraer, G. Kamhi, and M. K. Mhameed, A new paradigm for synthesisand propagation of clock gating conditions, in Proc. Des. Autom. Conf., Jun. 2008, pp [5] K. Roy, S. Mukhopadkyay, and H. Mahmoodi- meimand, Leakage current mechanisms and leakage reduction techniques in deep sub micrometer CMOS circuits, Proc. IEEE, vol. 91, no. 2, pp Feb VII. CONCLUSION A fine-grained CG and RTPG integration based on signal activities. An activity driven fine-grained OBSC technique that selects only a subset of FFs to gate. It can reduce dynamic power. The clock enable signal generated in the OBSC circuit can be used as the sleep signal in RTPG. The power gated cells can be determined by, forward traversing from the gated FF outputs. In the power gating, sleep transistors are used as switches to shut off power supplies to parts of a design in standby mode. Our Variable Body Biasing approach shows improved results in terms of static power, dynamic power

Low Power Register Design with Integration Clock Gating and Power Gating

Low Power Register Design with Integration Clock Gating and Power Gating Low Power Register Design with Integration Clock Gating and Power Gating D.KoteswaraRao 1, T.Renushya Pale 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 Assistant

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder

Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder Low Power Optimization Of Full Adder, 4-Bit Adder And 4-Bit BCD Adder Y L V Santosh Kumar, U Pradeep Kumar, K H K Raghu Vamsi Abstract: Micro-electronic devices are playing a very prominent role in electronic

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Design Review 2, VLSI Design ECE6332 Sadredini Luonan wang November 11, 2014 1. Research In this design review, we

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC Ms. Jeena Joy Electronics and Communication Engineering Vivekanandha College of Engineering for Women Tiruchengode, Erode, Tamilnadu, India.

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION

IMPLEMENTATION OF POWER GATING TECHNIQUE IN CMOS FULL ADDER CELL TO REDUCE LEAKAGE POWER AND GROUND BOUNCE NOISE FOR MOBILE APPLICATION International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 Sep 2012 97-108 TJPRC Pvt. Ltd., IMPLEMENTATION OF POWER

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Wide Fan-In Gates for Combinational Circuits Using CCD

Wide Fan-In Gates for Combinational Circuits Using CCD Wide Fan-In Gates for Combinational Circuits Using CCD Mekala.S Post Graduate Scholar, Nandha Engineering College, Erode, Tamil Nadu, India Abstract: A new domino circuit is proposed with low leakage and

More information

DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER REDUCTION APPROACHES. S. K. Sharmila 5, G. Kalpana 6

DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER REDUCTION APPROACHES. S. K. Sharmila 5, G. Kalpana 6 Volume 115 No. 8 2017, 517-522 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGN OF SSASPL BASED SHIFT REGISTERS WITH ADVANCED LEAKAGE POWER

More information

Performance Comparison of Various Clock Gating Techniques

Performance Comparison of Various Clock Gating Techniques IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. II (Jan - Feb. 2015), PP 15-20 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Comparison of Various

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 415-424 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Ehsan Pakbaznia, Student Member, and Massoud Pedram, Fellow, IEEE Abstract A tri-modal Multi-Threshold

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION

ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION ANALYSIS OF LOW POWER 32-BIT BRENT KUNG ADDER WITH GROUND BOUNCEING NOISE OPTIMIZATION Nisha, Asst.Prof. Anup Kumar Abstract Reducing power dissipation is one of the most important issues in deeply scaled

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating Dr. D. Mahesh Kumar Assistant Professor in Electronics, PSG College of Arts & Science, Coimbatore 14, Tamil Nadu, India. Abstract

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article WIDE FAN-IN GATES FOR COMBINATIONAL CIRCUITS USING CCD Mekala S 1 *, Meenakanimozhi

More information

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique

Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Minimization of 34T Full Subtractor Parameters Using MTCMOS Technique Mohammad Mudassir 1, Vishwas Mishra 2 and Amit Kumar 3 1 Research Scholar, M.Tech RF and Microwave, SITE, SVSU, Meerut (UP) INDIA,

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology Efficient D lip lop Circuit Using MTCMOS Technique in Deep Submicron Technology Abhijit Asthana PG Scholar in VLSI Design at ITM, Gwalior Prof. Shyam Akashe Coordinator of PG Programmes in VLSI Design,

More information

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors Send Orders for Reprints to reprints@benthamscience.ae 306 The Open Electrical & Electronic Engineering Journal, 2014, 8, 306-315 Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation International Journal of Engineering and Applied Sciences (IJEAS) ISSN: 2394-3661, Volume-2, Issue-3, March 2015 Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Design of a Single Phase Clock Multiband Flexible Divider Using Low Power Techniques

Design of a Single Phase Clock Multiband Flexible Divider Using Low Power Techniques Design of a Single Phase Clock Multiband Flexible Divider Using Low Power Techniques J.Santoshini Student, Electronics and Communication Department, Stanley College of Engineering, Hyderabad, India. Abstract:

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Leakage Power Reduction Using Power Gated Sleep Method

Leakage Power Reduction Using Power Gated Sleep Method Leakage Power Reduction Using Power Gated Sleep Method Parameshwari Bhoomigari 1, D.v.r. Raju 2 1 M. Tech (VLSI& ES), Department of ECE, Prasad Engineering College 1 2 Professor (HOD), Department of ECE,

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

A High Speed Encoder for a 5GS/s 5 Bit Flash ADC

A High Speed Encoder for a 5GS/s 5 Bit Flash ADC A High Speed Encoder for a 5GS/s 5 Bit Flash ADC George Tom Varghese and K. K. Mahapatra Department of Electronics and Communication Engineering, National Institute of Technology, Rourkela, India E-mail:

More information

Leakage Power Reduction in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI Circuits Leakage Power Reduction in CMOS VLSI Circuits Pushpa Saini M.E. Student, Department of Electronics and Communication Engineering NITTTR, Chandigarh Rajesh Mehra Associate Professor, Department of Electronics

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 M.Vishala, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 HOD Dept of ECE, Geetanjali

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER International Journal of Advancements in Research & Technology, Volume 4, Issue 6, June -2015 31 A SPST BASED 16x16 MULTIPLIER FOR HIGH SPEED LOW POWER APPLICATIONS USING RADIX-4 MODIFIED BOOTH ENCODER

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme *K.Lavanya & **T.Shirisha *M.TECH, Dept. ofece, SAHASRA COLLEGE OF ENGINEERING FOR WOMEN Warangal **Asst.Prof Dept. of

More information

MTCMOS Post-Mask Performance Enhancement

MTCMOS Post-Mask Performance Enhancement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.4, NO.4, DECEMBER, 2004 263 MTCMOS Post-Mask Performance Enhancement Kyosun Kim*, Hyo-Sig Won**, and Kwang-Ok Jeong** Abstract In this paper, we motivate

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information