ADC-Based Backplane Receivers: Motivations, Issues and Future

Size: px
Start display at page:

Download "ADC-Based Backplane Receivers: Motivations, Issues and Future"

Transcription

1 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) ISSN(Online) ADC-Based Backplane Receivers: otivations, Issues and Future Hayun Chung Abstract The analog-to-digital-converter-based (ADCbased) backplane receivers that consist of a front-end ADC followed by a digital equalizer are gaining more popularity in recent years, as they support more sophisticated equalization required for high data rates, scale better with fabrication technology, and are more immune to PVT variations. Unfortunately, designing an ADC-based receiver that meets tight power and performance budgets of high-speed backplane link systems is non-trivial as both frontend ADC and digital equalizer can be power consuming and complex when running at high speed. This paper reviews the state of art designs for the front-end ADC and digital equalizers to suggest implementation choices that can achieve high speed while maintaining low power consumption and complexity. Design-space exploration using systemlevel models of the ADC-based receiver allows through analysis on the impact of design parameters, providing useful information in optimizing the power and performance of the receiver at the early stage of design. The system-level simulation results with newer device parameters reveal that, although the power consumption of the ADC-based receiver may not comparable to the receivers with analog equalizers yet, they will become more attractive as the fabrication technology continues to scale as power consumption of digital equalizer scales well with process. anuscript received Sep. 25, 2015; accepted Dec. 28, 2015 Department of Electronics and Information Engineering, Korea University, Sejong, South Korea hcchung@korea.ac.kr Index Terms Analog-to-digital converter, backplane receiver, high speed, low power, system-level modeling I. INTRODUCTION As the ever-growing demands for higher data rate call for more sophisticated equalization schemes and the advances in fabrication technology favor digital circuit implementation, backplane receivers that rely on a frontend analog-to-digital converter (ADC) and a digital equalizer are gaining more popularity in these years [1-8]. Such ADC-based receivers not only provide opportunity to utilize advanced digital signal processing (DSP) techniques to perform higher-level of equalization, but also enable more robust circuit implementation against process variation, leakage, and noise. oreover, as their digital counterparts scale well with process, power, area, and speed of the ADC-based receivers continue to improve as the fabrication technology advances. One of the major challenges in implementing such ADC-based receivers is designing a high-speed front-end ADC with low-power consumption. In order to correctly digitize high data-rate signals running at 10 s of Gbps, the front-end ADC requires high input bandwidth and high sampling rate at the same time. eanwhile, to meet the tight power budgets of the backplane link system, power consumption of the front-end ADC should be minimized. Unfortunately, designing a high-speed ADC consuming low power is non-trivial [7, 9-17]. Flash ADCs are widely used for high-speed operation as they have short conversion times. However, they suffer from high power consumption, especially when the ADC resolution is high, as the number of comparators increase exponentially with the number of ADC bits. Successive

2 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, approximation register (SAR) ADCs, on the other hand, can significantly reduce power consumption, but they suffer from long conversion times. In the coming sections, we will discuss which type of ADC would be preferable as the front-end ADC of a backplane receiver. Another issue associated with the ADC-based receiver is high power consumption and complexity of the digital equalizer. Digital equalizers scale well with fabrication technology offering power and area reduction as the process advances and are immune to various on-die nonidealities (e.g., PVT variation and noise). However, when the operation speed is high, they suffer from high power consumption and complexity. Therefore, a careful design is required when implementing a digital equalizer for the backplane transceiver operating at 10 s of Gbps. Finally, as both front-end ADC and digital equalizer can consume high power and suffer from high complexity, it is important to optimize the backplane transceiver performance at system-level. The system-level optimization also provides useful information that can be used in deciding design parameters for front-end ADC and digital equalizer. The rest of this paper is organized as follows. Section II describes overall architecture of the ADC-based receiver. Sections III and IV then discuss major design issues and approaches associated with implementation of the front-end ADC and digital equalizer, respectively. To meet tight power and performance budgets of the backplane transceiver, it is important to optimize powerperformance tradeoff of the ADC-based receiver on system-level. Therefore, an example of system-level optimization framework is presented in Section V. Finally Section VI summarizes and concludes this paper. Fig. 1. Frequency responses of various backplane channels [18]. (a) II. ADC-BASED RECEIVER ARCHITECTURE In high-speed backplane link systems, a backplane channel consists of everything on the path from one chip to the other, including backplane trace, line card trace, connectors, vias, and so on. Such a complex structure leads to losses and reflections that can degrade quality of the signal. Fig. 1 shows frequency responses of various backplane channels. Clearly, the losses and reflections severely degrade signal integrity at high frequencies. Fig. 2 compares pulse responses transmitted through one of the backplane channels in Fig. 1 (i.e., 20 bottom) (b) Fig. 2. Pulse responses transmitted through a 20 bottom trace at (a) 1 Gbps, (b) 10 Gbps. at 1 Gbps and 10 Gbps. Red stems show pre-, main-, and post-cursor intersymbol interference (ISI) components at the sampling points. Compared to the 1 Gbps data, the 10 Gbps data transmission requires much heavier

3 302 HAYUN CHUNG : ADC-BASED BACKPLANE RECEIVERS: OTIVATIONS, ISSUES AND FUTURE Analog Digital Analog Digital Analog Equalizer ADC Digital Equalizer (a) Fig. 4. ADC-based backplane receiver architecture with a digital equalizer. in I AIN I TAP1 I TAP2 I TAP1 (b) out decision history Fig. 3. Backplane receiver with an analog equalizer (a) receiver architecture, (b) conceptual circuit diagram of the analog equalizer. equalization to recover the original signal while the 1 Gbps pulse response has only 2 post-cursor components, the 10 Gbps pulse response has 1 pre-cursor and 10 postcursor components. Traditionally, backplane receivers with an analog equalizer have been widely used. Fig. 3(a) illustrates the analog-equalizer-based backplane receiver architecture. The receiver consists of an analog equalizer followed by a slicer. The analog equalizer directly manipulates the incoming high-speed signal to cancel the effects of postcursor components. Then, the slicer makes decision by comparing the equalized output with a reference voltage to convert an analog signal to a digital signal. Fig. 3(b) shows a conceptual circuit diagram of an analog currentsumming finite impulse response (FIR) decisionfeedback equalizer (DFE). The equalizer turns on/off currents that are proportional to post-cursor components based on previous decision history to subtract the effect of the post-cursor components. Such a structure enables fast and low power operations. However, as it relies on previous decision history, pre-cursor components cannot be compensated. oreover, since each current summing stage adds capacitive loading at the output node, it suffers from bandwidth limitations when heavy equalization is applied. For this reason, the analog equalizers cannot efficiently deal with high-speed data streams as seen in Fig. 2(b), high-speed signals not only require precursor cancellation but also need to cancel out large number of post-cursors that can limit the bandwidth of the analog equalizer. To overcome such difficulties, ADC-based backplane receivers that rely on a digital equalizer have been proposed. Fig. 4 shows the ADC-based receiver architecture that consists of a front-end ADC, a digital equalizer and a digital slicer. Once the high-speed input signal is digitized in the front-end ADC, the digital equalizer cancels the effect of unwanted cursor components. Unlike the analog equalizer that rely on linear current summing structure, the digital equalizer can support both linear and nonlinear types of equalization allowing adoption of more sophisticated equalization techniques. oreover, as digital circuits can reconfigure themselves depending on channel environments, the receiver can be reused to save unnecessary efforts in designing and fabricating the chip. ost importantly, as digital circuits scale well with fabrication process, power consumption and area of the digital equalizers will scale as process continues to advance. Although there are many benefits of utilizing such ADC-based receiver architecture, they often suffer from high power consumption and complexity as both the front-end ADC and digital equalizer can be power consuming and complex especially when operating at high data rates. Therefore, a careful circuit design together with high-level optimization are required to meet tight power and performance budgets of high-speed link systems. III. FRONT-END ADC In ADC-based receivers, the front-end ADC converts an incoming high-speed analog signal to a digital code.

4 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, Fig. 5. ADC survey: sampling rate vs. ENOB [19]. Fig. 6. ADC survey: sampling rate vs. FO [19]. As the high-speed backplane link operates at 10 s of Gbps, the front-end ADC requires both high input bandwidth and high sampling rate in order to accurately quantize the incoming high-speed signal. At the same time, to meet the tight power budgets of the backplane link system, the power consumption of the front-end ADC has to be minimized. However, achieving high input bandwidth, high sampling rate, and low power consumption simultaneously is non-trivial. In the past years, various types of ADCs have been extensively studied to meet such requirements for the front-end ADC. This section analyzes previously reported high-speed ADCs in terms of power, speed and architecture to provide guidelines in designing front-end ADCs for backplane receivers. All the data points and graphs presented in this section are created based on the ADC survey [19] that lists all the ADCs presented in IEEE International Solid-State Circuits Conference and Symposium on VLSI Circuits from 1997 to Fig. 5 shows the effective number of bits (ENOB) of the ADCs with sampling rate higher than 1 GS/s. As the sampling rate increases the ENOB decreases, as higher ADC resolution leads to longer conversion times that limit the sampling rate. Notice that no ADCs achieve ENOB higher than 6 bits when the sampling rate is higher than 10 GS/s. Luckily, in case of high-speed backplane link system, 4-6 bits of ADC resolution is good enough to restore the transmitted bits. Once the target ADC resolution is decided (e.g., 4-6 bits), it is important to minimize power consumption while maintaining high sampling rate to meet the tight power budget of the backplane link system. Figure-ofmerit (FO) is a widely used measure for the ADC performance describing energy consumption per bit resolution and defined as, FO = Power f s 2 ENOB Fig. 6 presents FO versus sampling rate. Knowing that the power budgets per serial I/O link are lower than a few hundred mw, the FO of the front-end ADC should be lower than 0.5 pj/conversion-step in order to meet the overall power budgets [20]. Although such level of FO may not be difficult to achieve for ADCs with low sampling rates, it becomes very challenging to meet when the sampling rate is high (e.g., higher than 10 GS/s) due to clocking costs and high degree of time interleaving. For such reason, only a handful of ADCs with FO lower than 0.5 pj/conversion-step exist when the sampling rate is higher than 10 GS/s. Therefore, a careful design choices should be made based on a study on previous high-speed ADC architectures. In Fig. 6, blue O s and black X s represent ADCs with and without time interleaving, respectively. Notice that, all the ADCs with sampling rate higher than 10 GS/s employ time interleaving structure, as it is very challenging to achieve such high sampling rate with a single path structure. Fig. 7 shows the percentage of different ADC architectures adopted in high-speed ADCs presented in Fig. 6. When all the ADCs are considered, flash architecture is most widely used as they have short conversion times that translate to high sampling rates. (1)

5 304 HAYUN CHUNG : ADC-BASED BACKPLANE RECEIVERS: OTIVATIONS, ISSUES AND FUTURE (a) Table 1. Performance summary of high-speed front-end ADCs Architecture [7] [8] [13] [15] [21] [22] TI-flash TI-SAR TI-flash TI-flash TI-flash TI-SAR Technology (nm) Resolution (bits) ENOB (bits) Sampling rate (GS/s) ax. input freq. (GHz) Power (mw) FO (pj/conv.-step) Area (mm 2 ) N/A Two-stage THA Duty cycle ctrl. Input THA Reference Gen. Comparators 2 N 1 N Thermometer-to-binary Output (b) Fig. 7. ADC survey: pie diagram of the ADC type (a) overall ADCs, (b) TI-ADCs [19]. However, as flash ADCs consume high power they are not ideal for multi-way time interleaving, where multiple sets of ADCs are employed to achieve high aggregate sampling rate. Therefore, when only time-interleaved (TI) ADCs are considered, flash ADCs turn out to be less popular. Instead, successive approximation resistor (SAR) ADCs are widely employed. The time-interleaved SAR ADCs leverage the extremely low power and extremely small area characteristics of the SAR ADCs. Although conversion time of a single SAR ADC may be long, by utilizing multiple paths of ADCs, the timeinterleaved SAR ADC can achieve high aggregate sampling rate. Since the power consumption and area are extremely low and small for a single SAR ADC, the total power consumption and area may be still lower and smaller than that of flash ADCs even when multiple ADCs are combined. Table 1 summaries performance of Fig. 8. An example of high-speed flash ADC with two-stage THA and duty-cycle control [23]. previously reported high-speed front-end ADCs with sampling rate higher than 10 GS/s and FO lower than 1 pj/conv.-step. Rest of this section reviews high-speed front-end ADC circuit design examples utilizing the flash and SAR architectures. Fig. 8 shows an example of high-speed flash ADC [23]. While flash ADCs support high sampling rates based on their short conversion times, they suffer from high power consumption and bandwidth limitation as the number of comparator connected to the track-and-hold amplifier (THA) output increases exponentially with the ADC bit resolution. The two-stage THA separates high-speed input node from the heavily loaded-due to the multiple comparators-tha output. This significantly improves input bandwidth as the input node only sees the loading from the second amplifier. oreover, since the second amplifier only needs to track a DC voltage held by the first track-and-hold stage, the size of the second amplifier can be much smaller than the

6 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, Input aster T&H SAR ADC 1 CDAC SAR ADC SR N Output N digitized input N 1 E DFE 1-bit decision SAR ADC N Tap weight update logic Fig. 9. An example of high-speed time-interleaved SAR ADC with master T&H [22]. case when only one amplifier is employed (i.e., without track-and-hold stage). This not only improves input bandwidth-by downsizing the first amplifier-but also reduces power consumption significantly. Distorting duty cycle of the sampling clock and allocating longer tracking times for the second THA stage may further improve the ADC performance at very high sampling rates. Such design techniques enable high sampling rate, high input bandwidth and low power consumption, simultaneously, making them a good candidate for highspeed front-end ADCs. Although a single path ADC may not support 10 s of GS/s, higher sampling rates over 10 GS/s can be achieved by time interleaving just a few number (i.e., 2) of such high-speed flash ADCs. Unlike flash ADCs, SAR ADCs often suffer from long conversion times that lead to low sampling rates. However, they provide extremely low power and compact area performances, which makes them ideal for multi-way time interleaving. Leveraging this fact, multiple (e.g., 8-16) SAR ADCs can be time-interleaved to achieve high aggregate sampling rates (e.g., 10 GS/s) while keeping the overall power consumption low. Fig. 9 shows an example of a -way TI-SAR ADC [22]. In case of TI-SAR ADC, multiple SAR ADC paths may add large parasitic capacitive loading at the input node degrading the input bandwidth. Therefore, similarly to the case of flash ADC, a two-step track-and-hold can be employed to improve input bandwidth and save power. In order to support high sampling rates, the master trackand-hold (i.e., first-stage track-and-hold) should operated at high-speed (i.e., target sampling rate), while the local track-and-hold can operate at much slower rate (i.e., target sampling rate divided by ) that corresponds to the sampling rate of each SAR ADC path. As the time interleaving depth grows, more multi-phase clocks are required. Therefore, in case of deep TI-SAR ADCs, Fig. 10. Digital EQ architecture. careful clock distribution design is required. IV. DIGITAL EQUALIZER Once the front-end ADC digitizes the input signal, the digital equalizer (EQ) equalizes the signal in digital domain to restore the original data sequence. One of the major benefits of the ADC-based receivers is that, leveraging the advanced digital signal processing (DSP) techniques, various types of linear and nonlinear equalization schemes can be adopted to optimize power and performance of the backplane receiver. Unfortunately, recent studies on the digital equalizer of the ADC-based receiver are focused on the digital counterpart of the analog finite-impulse-response-filter-based (FIR-filterbased) equalizer and more advanced forms of digital equalizers are yet to be explored. In this section, we will discuss design challenges in digital equalizers based on the FIR-filter-based structure and suggest design choices to overcome implementation difficulties. While the digital implementation of equalizers enable robust operations against PVT variations and noise compared to their analog counterparts, they suffer from high hardware complexity and power consumption when the data rate is high. Therefore, a detailed analysis on critical path delay, complexity and power consumption is crucial to meet the tight power-performance budgets of the overall link system. Fig. 10 shows an example of FIR-filter-based digital EQ architecture that consists of a feed-forward equalizer (E), a slicer, a decision-feedback equalizer (DFE) and a tap-coefficient update logic. Unlike the analog EQ, digital EQ supports feed-forward equalization as they can easily store, shift and modify digitized input signal. However, as the FIR-filter-based E implementations require multi-bit multipliers that may lead to high power consumption, they are often avoided. As variations on the

7 306 HAYUN CHUNG : ADC-BASED BACKPLANE RECEIVERS: OTIVATIONS, ISSUES AND FUTURE digitized input N Feedback loop 1 1-bit decision channel response due to temperature and aging effect are slow, the tap-coefficient update logic can run at much slower rate than the other EQ blocks and its contribution on power consumption and complexity are negligible. The DFE utilizes 1-bit decision history to cancel out post-cursor ISI components. Fig. 11 shows a functional model of the DFE based on a FIR filter. The delayed set of slicer outputs (i.e., the 1-bit decision history) are multiplied by tap-coefficients and weighted sum of the decision history is fed back to the slicer to set the slicing level for the next decision. Since the whole feedback procedure-including 1-bit decision making, tapcoefficient multiplication and summation-should be completed within a clock cycle (i.e., 100 ps assuming 10 Gbps), implementing the DFE operating at high data rates is very challenging. Fig. 12 illustrates three implementation approaches that can extend loop bandwidth-loop unrolling, loop delay extension and time interleaving. Loop unrolling shown in Fig. 12(a) pre-calculates the slicer levels such that one can be quickly selected when the decision is made. This method can significantly reduce the loop delay as time-consuming multiplying and summing processes can be excluded from the feedback loop. The DFE with loop unrolling may still suffer from a speed bottleneck as keeping the loop delay-consisting of a 2:1 multiplexer and a flip-flop delays-within a clock cycle may be challenging as the data rate approaches 10 s of Gbps. Extra combinational logic can be employed to extend the loop delay by one clock cycle and overcome the speed bottleneck [25], as shown in Fig. 12(b). In case further relaxation on timing constraint is required, time interleaving can be adopted as shown in Fig. 12(c) at the expense of complexity. When loop unrolling is employed, the magnitude Δ Δ µ 0 µ 1 Fig. 11. Functional model of a FIR-filter-based DFE. Δ µ N-1 FIR filter comparator and slicer can be merged with the front-end ADC by replacing the ADC reference levels with the precalculated equalizer slicing levels [4, 5, 8, 26]. Fig. 13 illustrates such a DFE structure, called reduced-slicer partial-response DFE (RS-PRDFE). The RS-PRDFE can relax implementation overheads on both front-end ADC and digital EQ, reducing overall complexity and power consumption when employed in an ADC-based receiver. However, as the structure only supports FIR-filter-based equalization, more equalization techniques utilizing advanced DSP techniques including nonlinear equalization cannot be exploited. Structure-wise, it is more accurate to consider the RS-PRDFEs as loop unrolled analog equalizers than digital equalizers, as the pre-calculated slicer levels are converted back to analog domain relying on digital-to-analog converters (DACs) to make decisions. To find digital EQ architectures that can truly exploit the benefits of ADC-based receiversthat can utilize advanced DSP techniques and minimize front-end ADC implementation overheads-system-level verification and optimization based on high-level models are crucial. V. SYSTE-LEVEL OPTIIZATION As seen previously, both front-end ADC and digital EQ can be power consuming and complex when operating at high data rates. Therefore, a careful design based on a system-level optimization utilizing high-level simulators (e.g., ATLAB, XODEL and CppSim) is crucial to meet tight power and performance constraints of the overall high-speed link systems. High-level behavioral and power models allow quick estimation on the performance and power consumption. Leveraging the fast simulation times, a system-level design-space exploration can be performed to find optimal design parameters of the ADC-based receivers (e.g., ADC resolution, EQ resolution, number of EQ taps, etc.). Fig. 14 shows an example of a system-level model configuration of an ADC-based receiver. As the frontend ADC handles a high-speed analog input signal that are susceptible to variability and noise, an accurate behavioral model is required to correctly estimate the digitized output. At the same time, since fast performance estimation is crucial in system-level optimization, the behavioral model should support short

8 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, in clk slice0 slice1 slice2 slice3 slice4 slice5 slice6 slice7 1 2:1 T clk out (a) in clk slice0 slice1 slice2 slice3 slice4 slice5 slice6 slice7 1 (b) 2:1 T clk 2 out in clk/2 clk/2 slice0 slice1 slice2 slice3 slice4 slice5 slice6 slice7 slice0 slice1 slice2 slice3 slice4 slice5 slice6 slice :1 2:1 T clk 2 T clk 2 out_even out_odd (c) Fig. 12. High-speed implementation choices for a three-tap DFE (a) loop unrolling, (b) loop unrolling with loop delay extension, (c) loop unrolling with delay extension and time interleaving [24] 2014, IEEE.

9 308 HAYUN CHUNG : ADC-BASED BACKPLANE RECEIVERS: OTIVATIONS, ISSUES AND FUTURE in N Shift Register Ref. Gen. (DAC) 2 N :1 1-bit decision Slicer level selection Tap weight update logic Pre-calculate slicer levels based on FIR-filter-based equalization Fig. 13. RS-PRDFE architecture. Performance estimation Power estimation System-level modeling Front-end ADC (Accurate behavioral model) Front-end ADC (Realistic power model) Digital Equalizer (Simple functional model) Digital Equalizer (Realistic power model) Fig. 14. Configuration of a system-level model of an ADCbased receiver. Fig. 15. Example of a system-level optimization based on a design-space exploration [24] 2014, IEEE. simulation times. In order to achieve high simulation accuracy and short simulation time simultaneously, fine time steps (e.g., 10-3 of symbol time) can be adopted only near and at the point of interest (i.e., THA sampling point). Adopting time-domain interpolation techniques can further improve accuracy with minimal increase in simulation complexity. Finally, including only major circuit non-ideality effects (e.g., input-dependent sampling instant, R on variation, circuit bandwidth limitation, comparator offset and etc.) and excluding insignificant effects greatly reduces complexity of the behavioral model and enable fast simulations. Once the input signal is digitized, the digital equalizer can rely on a simple functional model with coarse time step (i.e., one sample per symbol time) to estimate its performance, as digital circuits are more robust against variability and noise. To estimate the power consumption of the ADCbased receiver, a realistic model based on practical implementation choices is required. While the front-end ADC has relatively simple structure with few building blocks, the digital equalizer, especially running at high data rates, can be complex as seen in the previous sections. Therefore, having a power model based on practical and detailed implementation choices (e.g., loop unrolling, loop delay extension and time interleaving) is important to get a reliable estimation. The power model decides required implementation choices based on the given loop delay constraint. The equalizer power consumption then can be calculated by summing up the power consumed in each circuit blocks (e.g., D flip-flop and multiplexer) where the total number of circuit blocks is determined by the implementation choices and design parameters (e.g., number of EQ taps). As the system-level model enables fast estimation on performance and power, various types of architectures (e.g., with different types of front-end ADC types and linear/nonlinear digital equalizers) can be tested in early stages of design to determine possible design choices. Also, wide rages of design parameters can be swept to find the optimal design point for a given structure. Fig. 15 shows an example of a system-level optimization framework based on a power-performance design-space exploration [24] using ATLAB. The equalizer performance is measured in mean-squared error (SE) and the power is measured in watts (W). Note that based on the Q-function, SE of and 0.03 can be translated to BER of and 10-3, respectively. The Pareto frontiers, shown in a black line, suggest optimal design points in terms of power and performance tradeoffs. Depending on the power and performance

10 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 Fig. 16. ADC bit resolution versus equalizer performance. budgets, one of the Pareto frontier points can be chosen as a design point to suggest design parameters of the building blocks. For example, the point marked with black circle comprising 5.5-bit front-end ADC and a digital equalizer with a 1-tap E and a 6-tap DFE can be chosen as an optimal design point to achieve SE of As discussed in the previous sections, designing a high-speed front-end ADC can be very challenging. Since power consumption and speed of the ADCs are highly dependent on ADC resolution (e.g., power consumption and capacitive loading grow exponentially with ADC resolution in flash ADCs), minimizing the ADC resolution can significantly improve power and speed performances of the ADC-based receiver. As system-level models allow fast estimations on equalizer 309 performance, minimum ADC bit resolutions required to achieve target BER performance can be found at the early stage of design. Fig. 16 shows equalizer performance as a function of ADC resolution, assuming a 14-bit digital equalizer with a 1-tap E and a 6-tap DFE. The optimal design point assuming SE is marked with a black circle. As the digital equalizer assumes linear FIR-filter-based architecture, quantization error due to coarse ADC resolution significantly degrades equalizer performance. As a result, at least 5.5-bit ADC resolution is required to achieve roughly BER (i.e., SE), which is very challenging to implement when the sampling rate is higher than 10 GS/s. As reducing ADC resolutions can significantly improve speed, power and area of the overall receiver, developing a nonlinear equalizer algorithm that works well with a coarse ADC (e.g., 2-3 bit ADC) is crucial in realizing a high-speed, low-power ADC-based receiver. Such system-level models also allow us to estimate future ADC-based receiver trends as the device parameters can be easily replaced to study the impact of new devices. Fig. 17 illustrates the impact of fabrication technology scaling, scaled from 65 nm to 22 nm. While the equalizer performance does not scale well with process as the channel environment stays the same, the power consumption reduces significantly as power consumption of the digital equalizer scales very well with the fabrication technology. The power consumption reduces roughly by a factor of three as the process technology reduces from 65 nm to 22 nm. Such good scalability along with other benefits of the digital equalizers (i.e., robustness against PVT variation, good Fig. 17. Design-space of the ADC-based receiver (a) 65 nm, (b) 22 nm COS processes [24] 2014, IEEE.

11 310 HAYUN CHUNG : ADC-BASED BACKPLANE RECEIVERS: OTIVATIONS, ISSUES AND FUTURE flexibility and ability to support both linear and nonlinear types of equalizations) makes the ADC-based receivers more attractive as the fabrication technology continues to advance. VI. CONCLUSION The ADC-based backplane receivers provides various benefits-1) scalability with fabrication process, 2) portability, 3) immunity to PVT variations and 4) ability to support both linear and nonlinear equalizations and utilize advanced DSP techniques. However, designing such an ADC-based receiver is non-trivial as both frontend ADC and digital equalizers can be complex and power consuming when operating at high speed. Therefore a careful design is required. As the front-end ADC needs to deal with high speed input signals, they require high sampling rate and input bandwidth. Simultaneously, their power consumption should be kept low as the backplane link system has tight power budgets. Flash ADCs with shallow time interleaving and SAR ADCs with deep time interleaving are two most popular choices for the front-end ADCs. The flash-type front-end ADCs exploit their short conversion times to achieve high sampling rates with minimal degree of time interleaving (e.g., 2-4 paths). However, as flash ADCs suffer from high power consumption, techniques to minimize power consumption (i.e., two-stage THA and comparators with minimum size devices) are crucial to keep the overall power consumption low. The SAR-type front-end ADCs take advantage of the low power consumption of a single SAR ADC. Since a SAR ADC consumes extremely low power, large number of ADCs (e.g., 8-16) can be timeinterleaved to achieve high aggregate sampling rate. To minimize the impact of phase mismatch between the sampling clocks and maximize the input bandwidth a master-slave T&H structure can be employed. While various types of equalization including nonlinear equalization can be employed in the digital EQ, current form of digital EQs rely on the conventional FIRfilter-based structure and other forms of digital EQ utilizing more advanced DSP techniques haven t been studied yet. As the digital EQs are power consuming and complex at high data rates, they also require careful design. Techniques such as loop unrolling, loop delay extension and time interleaving can be employed at the expense of complexity to achieve high speed operations. In recent years, RS-PRDFEs that merge the front-end ADC and the digital EQ have been proposed to minimize power consumption and complexity of the ADC-based receivers. However, as they rely on the FIR-filter-based architecture, other types of more advanced equalizations cannot be adopted. To optimize power and performance tradeoffs and enable studies on feasibility of various types of equalizations, a system-level model of the ADC-based receivers can be utilized. Simulations with more advanced device parameters suggest that the power consumption of the ADC-based receiver scales very well with process, making it more attractive as the fabrication technology continues to advance. ACKNOWLEGENT This work was supported by the Korea University Grant. REFERENCES [1] Harwood,. et al: A 12.5Gb/s SerDes in 65nm COS using a baud- rate ADC with digital receiver equalization and clock recovery. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, (2007) [2] Cao, J. et al.: A 500mW digitally calibrated AFE in 65nm COS for 10Gb/s serial links over backplane and multimode fiber. IEEE Int. Solid- State Circuits Conf. Dig. Tech. Papers, (2009) [3] Chen, E.-H. et al.: 10Gb/s serial I/O receiver based on variable reference ADC. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2011) [4] Kim, J. et al.: Equalizer design and performance trade-offs in ADC-based serial links. IEEE Trans. Circuits Syst. I, 58(8), (2011) [5] Chen, E.-H., Yousry, R., Yang, C.-K.: Power optimized ADC-based serial link receiver. IEEE J. Solid-State Circuits, 47(4), (2012) [6] Ting, C. et al.: A blind baud-rate ADC-based CDR. IEEE J. Solid-State Circuits, 48(12), (2013)

12 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, [7] Zhang, B. et al.: A 195mW/55mW dual-path receiver AFE for multistandard 8.5-to-11.5 Gb/s serial links in 40nm COS. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, (2013) [8] Shafik, A. et al.: A 10Gb/s hybrid ADC-based receiver with embedded 3-tap analog E and dynamically-enabled digital equalization in 65nm COS. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, (2015) [9] Choi,. et al.: A 6-bit 5-GSample/s Nyquist A/D converter in 65nm COS. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2008) [10] Park, S., Palaskas, Y., Flynn,.: A 4-GS/s 4-bit Flash ADC in 0.18-μm COS. IEEE J. Solid-State Circuits, 42(9), (2007) [11] Chen, E.-H. et al.: Adaptation of CDR and full scale range of ADC-based Serdes receiver. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2009) [12] Chen, V.-C., Pileggi, L.: An 8.5mW 5GS/s 6b flash ADC with dynamic offset calibration in 32nm COS SOI. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2013) [13] El-Chammas,., urmann, B.: A 12-GS/s 81- mw 5-bit time-interleaved flash ADC with background timing skew calibration. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2010) [14] Nazemi, A. et al.: A 10.3GS/s 6bit (5.1 ENOB at Nyquist) time-interleaved/pipelined ADC using open-loop amplifiers and digital calibration in 90nm COS. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2008) [15] Verma, S. et al.: A 10.3GS/s 6b flash ADC for 10G Ethernet applications. IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, (2013) [16] Tabasy, E. et al.: A 6b 10GS/s TI-SAR ADC with embedded 2-tap E/1-tap DFE in 65nm COS. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2013) [17] Kull, L. et al.: A 35mW 8b 8.8GS/s SAR ADC with low-power capacitive reference buffers in 32nm Digital SOI COS. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2013) [18] Peters, W.: IEEE p802.3ap task force channel model material. public/channel_model (2006). Accessed 14 July 2015 [19] urmann, B.: ADC performance survey (ISSCC & VLSI symposium). (2014). Accessed 07 arch 2015 [20] Yang, C.-K., Chen, E.-H.: ADC-based serial I/O receivers. Proc. IEEE Custom Integrated Circuits Conf., (2009) [21] Chung, H. et al.: A 7.5 GS/s flash ADC and a GS/s time-interleaved ADC for backplane receivers in 65 nm COS. Analog Integrated Circuits and Signal Processing, 85(2), (2015) [22] Tual, S.L. et al.: A 20GHz-BW 6b 10GS/s 32mW time-interleaved SAR ADC with master T&H in 28nm UTBB FDSOI technology. IEEE Int. Solid- State Circuits Conf. Dig. Tech. Papers, (2014) [23] Chung, H. et al.: A 7.5-GS/s 3.8-ENOB 52-mW flash ADC with clock duty cycle control in 65nm COS. IEEE Symp. VLSI Circuits Dig. Tech. Papers, (2009) [24] Chung, H., Wei, G.-Y.: ADC-based backplane receiver design-space exploration. IEEE Trans. VLSI Syst., 22(7), (2014) [25] Kasturia, S., Winters, H.: Techniques for highspeed implementation of nonlinear cancellation. IEEE J. Sel. Areas Commun., 9(5) (1991) [26] Varzaghani, A., Yang, C.-K.: A 4.8 GS/s 5-bit ADC-based receiver with embedded DFE for signal equalization. IEEE J. Solid-State Circuits, 44(3), (2009) Hayun Chung is an Assistant Professor of Electronics and Information Engineering at Korea University, South Korea. She received her B.S. and.s. degrees in Electrical Engineering from Seoul National University in 2002 and 2004, respectively, and Ph.D. degree in Engineering Sciences from Harvard University in From 2009 to 2011 she was with Keio University as a Research Associate. Her research interest includes data converters (e.g., ADCs and TDCs) for high-speed I/O interfaces with emphasis on variability-aware re-configurable circuit designs, digitally assisted analog circuits.

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier

Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Low Power Approach for Fir Filter Using Modified Booth Multiprecision Multiplier Gowridevi.B 1, Swamynathan.S.M 2, Gangadevi.B 3 1,2 Department of ECE, Kathir College of Engineering 3 Department of ECE,

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 11, NOVEMBER 2014 1 A 6 bit 10 GS/s TI-SAR ADC With Low-Overhead Embedded FFE/DFE Equalization for Wireline Receiver Applications Ehsan Zhian Tabasy,

More information

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication by A K M Delwar Hossain A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Integrated

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Design Metrics for Blind ADC-Based Wireline Receivers

Design Metrics for Blind ADC-Based Wireline Receivers Design Metrics for Blind ADC-Based Wireline Receivers (Invited Paper) Ali Sheikholeslami 1 and Hirotaka Tamura 2 1 Department of Electrical and Computer Engineering, University of Toronto, Canada, 2 Fujitsu

More information

THE USE of multibit quantizers in oversampling analogto-digital

THE USE of multibit quantizers in oversampling analogto-digital 966 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 12, DECEMBER 2010 A New DAC Mismatch Shaping Technique for Sigma Delta Modulators Mohamed Aboudina, Member, IEEE, and Behzad

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By High Speed I/O 2-PAM Receiver Design EE215E Project Signaling and Synchronization Submitted By Amrutha Iyer Kalpana Manickavasagam Pritika Dandriyal Joseph P Mathew Problem Statement To Design a high speed

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Mixed-Signal-Electronics

Mixed-Signal-Electronics 1 Mixed-Signal-Electronics PD Dr.-Ing. Stephan Henzler 2 Chapter 6 Nyquist Rate Analog-to-Digital Converters 3 Pipelined ADC 2 4 High-Speed ADC: Pipeline Processing Stephan Henzler Advanced Integrated

More information

IN HIGH-SPEED wireline transceivers, a (DFE) is often

IN HIGH-SPEED wireline transceivers, a (DFE) is often 326 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 6, JUNE 2012 Decision Feedback Equalizer Architectures With Multiple Continuous-Time Infinite Impulse Response Filters Shayan

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers

Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers arxiv:1702.01067v1 [cs.ar] 3 Feb 2017 Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers Naveen Kadayinti, and Dinesh Sharma Department of Electrical Engineering,

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July-2013 2541 Simulated Design of Speedy and minimum Powered ADC for Serial link Receiver Disha S. Aherrao #, S.W.Varade

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo.

Tuesday, March 1st, 9:15 11:00. Snorre Aunet Nanoelectronics group Department of Informatics University of Oslo. Nyquist Analog to Digital it Converters Tuesday, March 1st, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo 3.1 Introduction 3.1.1 DAC applications

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

A 5Gb/s Speculative DFE for 2x Blind ADC-based Receivers in 65-nm CMOS. Siamak Sarvari

A 5Gb/s Speculative DFE for 2x Blind ADC-based Receivers in 65-nm CMOS. Siamak Sarvari A 5Gb/s Speculative DFE for 2x Blind ADC-based Receivers in 65-nm CMOS by Siamak Sarvari A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING Batruni, Roy (Optichron, Inc., Fremont, CA USA, roy.batruni@optichron.com); Ramachandran, Ravi (Optichron,

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 3. FIR Design and Decision Feedback Equalization Lecture 3 FIR Design and Decision Feedback Equalization Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 by Mark Horowitz, with material from Stefanos

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz A Low Power Switching Power Supply for Self-Clocked Systems 1 Gu-Yeon Wei and Mark Horowitz Computer Systems Laboratory, Stanford University, CA 94305 Abstract - This paper presents a digital power supply

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC

CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC CMOS Analog to Digital Converters : State-of-the-Art and Perspectives in Digital Communications ADC Hussein Fakhoury and Hervé Petit C²S Research Group Presentation Outline Introduction Basic concepts

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Analog-to-Digital i Converters

Analog-to-Digital i Converters CSE 577 Spring 2011 Analog-to-Digital i Converters Jaehyun Lim, Kyusun Choi Department t of Computer Science and Engineering i The Pennsylvania State University ADC Glossary DNL (differential nonlinearity)

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K. EE247 Lecture 22 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Figures of merit (FOM) and trends for ADCs How to use/not use FOM Oversampled ADCs EECS 247 Lecture 22:

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses

Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses Time-skew error correction in two-channel time-interleaved ADCs based on a two-rate approach and polynomial impulse responses Anu Kalidas Muralidharan Pillai and Håkan Johansson Linköping University Post

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

High Speed Flash Analog to Digital Converters

High Speed Flash Analog to Digital Converters ECE 551, Analog Integrated Circuit Design, High Speed Flash ADCs, Dec 2005 1 High Speed Flash Analog to Digital Converters Alireza Mahmoodi Abstract Flash analog-to-digital converters, also known as parallel

More information

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect Journal of Electrical and Electronic Engineering 2015; 3(2): 19-24 Published online March 31, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150302.12 ISSN: 2329-1613 (Print);

More information

2.5GS/s Pipelined ADC with Background. Linearity Correction

2.5GS/s Pipelined ADC with Background. Linearity Correction A14b25GS/s8-Way-Interleaved 2.5GS/s Pipelined ADC with Background Calibration and Digital it Dynamic Linearity Correction B. Setterberg 1, K. Poulton 1, S. Ray 1, D.J. Huber 1, V. Abramzon 1, G. Steinbach

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

3. DAC Architectures and CMOS Circuits

3. DAC Architectures and CMOS Circuits 1/30 3. DAC Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

10Gb/s PMD Using PAM-5 Trellis Coded Modulation

10Gb/s PMD Using PAM-5 Trellis Coded Modulation 10Gb/s PMD Using PAM-5 Trellis Coded Modulation Oscar Agazzi, Nambi Seshadri, Gottfried Ungerboeck Broadcom Corp. 16215 Alton Parkway Irvine, CA 92618 1 Goals Achieve distance objective of 300m over existing

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information