What do ultra low power requirements mean for secure hardware?

Size: px
Start display at page:

Download "What do ultra low power requirements mean for secure hardware?"

Transcription

1 Gigascale Reliable Energy Efficient Nanosystem (GREEN) Lab School of Electrical and Computer Engineering, Georgia Tech Exploring reliable, energy efficient computing solutions at nanometer nodes from devices to circuits to systems What do ultra low power requirements mean for secure hardware? Saibal Mukhopadhyay School of ECE, Georgia Institute of Technology Intel Corporation Qualcomm IBM

2 Emerging Computing Applications Growth rate 80% 60% 40% 20% Source: International Data Corporation (IDC) Servers Desktop Laptop Wearables Smart Phone IoTs High performance Mobile, low power Compute small, everywhere 2

3 Emerging Computing Applications 1 13 One connected thing per person Inflection Point IoT Predictions Sensors, Smart Objects, Wearables, Healthcare Information Leakage Tablets, Laptops, Phones Side Channel Attack Billion World Population Secure Private Trustworthy Billion Objects 3

4 Power performance Spectrum Servers Performance Internet-of-things GPU Cell-phone processors Multi-core processors Wearable medical sensors Environment sensors Energy autonomous systems Growing space for ultra-low power computing Power 4

5 A critical challenge moving forward How do we secure embedded systems and SoCs operating under tight power budgets? 5

6 Low-power and Security Low-power requirements of secure hardware Challenge? Or Opportunities? Hardware Trojan Power Attack Tampering EM attack Counterfeit Cryptanalysis Reverse engineering Voltage scaling Voltage regulators Clock gating Power gating Adaptive circuits Logic design Activity control Architecture Hardware Security Vulnerabilities Low-power techniques 6

7 Focus of this Talk Low-power requirements in encryption engines and protection against side channel attack Smart Cards FPGA Processors Side Channels Leaking Encryption Specific Information: Power Trace Measurements Most commonly used side channel Electromagnetic Emissions 7

8 An Example Application: Distributed Video Surveillance with Self-power Sensors Image sensing Node Datarate reduc*on (pre- processing and compression) wireless link Limited bandwidth and dynamic channel condi*on Receiver Desirable quality of important informa*on Noise tolerance (Adap*ve modula*on) S. Mukhopadhyay, PI, Supported by Office of Naval Research, US

9 Self-powered Image Sensors Energy harvesting from sensor CMOS sensor Pre- processor MJPEG TransmiBer BaBery Other Energy transducers Power management Clock generator SRAM (edge map) 2mm x 2mm design, 130nm CMOS Energy per frame (uj) MJPEG H.264/AVC intra MJPEG + Pre-processing J. Ko, IEEE TMSCS SSIM of ROI

10 Area and Power Cost of Securing the Transmitted Image Computation Energy per frame (uj) % area +1.8% energy +6.2% area +19% energy +4.6% area +17% energy +0.6% area +1.6% energy + EncrypIon module (AES) + EncrypIon module (Simon) Area (um 2 ) Baseline MJPEG Baseline MJPEG + pre- processor (fixed QF/threshold) Variable QF MJPEG + pre- processor + system controller We need security at very low area and energy cost 10

11 Low-power requirement is a challenge to design power-attack secure crypto engines 11

12 Low Area/Power Cryptography Significant past effort exists on low-power crypto. Technique Area* Power* Adiabatic Logic Circuits 1.56X 0.24X Serialization (8-bit datapath) Using Composite Field Arithmetic Register reduction, clock gating, bus specific clock 0.5X 0.11X 1.1X 0.08X 0.9X 0.56X Sequence Switch Coding No data 0.9X RTL level low power techniques - clock gating, register reduction. SBOX function can be optimized with different mathematical realization of composite field arithmetic Serialization and hardware reuse is one of the popular way to minimize the hardware cost. *The factors are obtained from corresponding references Little quantitative analysis exists on how these techniques impact resistance against power attack. 12

13 Encryption Schemes Key 128- bit Parallel AES 128-bit datapath PlainText 128-bit SBox (128-bit) ShiftRow (128-bit) Key 128- bit Algorithmic noise for targeted byte Serial AES 8-bit datapath PlainText 128-bit SBox (8-bit) ShiftRow (8-bit) Key 128- bit No algorithmic noise for targeted byte SIMON 1-bit datapath PlainText 128-bit SIMON Round No algorithmic noise for targeted bit MixColumn (128-bit) AddRoundKe y (128-bit) MixColumn (8-bit) AddRoundKey (8-bit) Serial encryption designs are more susceptible to power attacks valid for both serial AES and SIMON 13

14 Correlation Power Attack Characteristics Parallel AES Serialized AES SIMON Serial designs are observed to be more prone to side-channel attack. ρ( t, k ) i j = E(( P P)( HD HD)) σ( P) σ( HD) 14

15 Design Tradeoffs Area 0.4 Power Parallel AES Serial AES SIMON 0.08 Area Power Latency MTD (#cycles) High performance parallel AES Compact serial AES SIMON MTD - minimumtracesto-disclosure Low-power achieved by serialization and hardware reuse can degrade the resistance to side-channel attack. 15

16 Counter-Measures Against Power Attacks Plain Text Switching Activity Current Pattern Key Encryption Algorithm Design Measurement Recorded Trace Insert NOPs Masking Randomizing Logic styles Current Equalizer Package PDN Noise Injection Device Noise Thermal Noise Measurement Noise 16

17 Overhead of Countermeasures Countermeasure Type Area* Perf.* Random Order 15k NA Execution Multiprocessor Arch Arch. 2X 0.4% Random Isomorphism 2.5-3X 50% PDDL/WDDL 2.3X NA MDPL Logic 4-5X 50% imdpl 18-19X 70% Current Equalizer 1.25X 50% Clock Randomization Physical 1.1X NA *The factors are obtained from corresponding references Most of the commercially used countermeasures (DDL, MDPL, imdpl etc) have appreciable cost to area, power, and/or performance 17

18 Design Challenge Area-Overhead Counter-Measure Design Domain Performance Overhead Power Overhead 18

19 Low-power requirement is a new challenge to design power-attack secure crypto engines Low-power techniques provide new avenues to improve power-attack resistance of crypto engines 19

20 Low-power Techniques for Power Attack Protection Illustrative examples Low-voltage and adaptive circuits for power attack protection Integrated voltage regulators for power attack protections 20

21 Clock Randomization for Power Attack Security CLK IN CLK OUT CLK IN CLK IN PRNG PRNG PRNG D Q CK D Q CK D Q CK XOR Random Clock D Q CK CLK IN CLK OUT o o With randomization of clock edges, the processing time/instant of critical instructions can be randomized Techniques q Random Clock q Random Phase Shift q Globally Async Locally Sync Clocking (GALS) DELAY 0 DELAY 1 CLOCK MUX CLK OUT Power (mw) AES unprotected k MTD (# of traces) DELAY n- 1 PRNG Random Clock (+20%) >300k (>30x) Random Phase Shift (+20%) >300k (>30x) No Attack CLK IN CLK 0 CLK 1 CLK n- 1 Random Phase Shift Ref: Renato Menicocci et al, Experiments on Two Clock Countermeasures against Power Analysis Attacks, MIXDES 14 Ref: Rafael L. Soares et al, A Robust Architectural Approach for Cryptographic Algorithms using GALS Pipelines, DATC 11 21

22 Exploiting DVFS for Power Attack Protection Desired V/ F Register DVFS Feedback Loop Timing Information from OS DVFS Scheduler text Encryption Engine/ CPU DVFS techniques, widely used for power management, can be exploited against SCA V/F registers store random combinations of VDD and frequency Design parameters are number of V/F pair and time interval between each transition Resistance to power attack demonstrated with increased trace entropy Energy Overhead Time Overhead Power Trace Entropy (bits) Without DVFS With DVFS -27% 16% Time Trace Entropy (bits) Ref: Shengui Yang et al, Power Attack Resistant Crypto System Design: A Dynamic Voltage and Frequency Switching Approach, DATE 05 22

23 Adaptive Circuits for Lowpower Operation under Noise VDD Mode control CK IN T CKIN AC: Adaptive Clocking power gate mode control Pipeline with Programmable Time- Borrowing CLKi CG PTDNn CKEN T CKP (w/o noise) noise T CKP (with noise) T CKP (=nt CKIN ) tracks the instantaneous noise Time-borrowing and Clock gating/stretching CKIN Clock Modulator VCO CKp... clock buffers V control K. Chae and S. Mukhoapdhyay, TCAS2014, TCAS-II 2012, TCAS-II,

24 Can Adaptive Circuits help in Power Attack Protection? Voltage (V) Tolerable Voltage Droop Min. Op. Voltage Conv. PTB PTB + AC 24

25 Low-power Techniques for Power Attack Protection Illustrative examples Low-voltage and adaptive circuits for power attack protection Integrated voltage regulators for power attack protection 25

26 Power Delivery and Lowpower Operation Current or voltage Voltage droop Current step Time 26

27 Advantages of Integrated Voltage Regulators 3.3V or higher IVR 1.2V Encryption Engine Integrated Circuit Buck (Down-Conversion) IVRs eliminate R/L/C parasitic of power traces in package and PCB DC-DC conversion on-the processor chip (buck converter) Less current through package traces => less power loss in PCB Faster transient response reduces power supply noise Need less voltage margin => better power efficiency Faster output voltage transition Allow more frequent power-state transitions 27

28 Existing Systems with Off-chip Voltage Regulators 3.3V Mount power attack at Vdd/GND pins VRM 1.2V Encryption Engine Integrated Circuit Off-chip Voltage Regulation Module (VRM) 28

29 Power Attack Protection using Integrated Voltage Regulators 3.3V or higher Mount power attack at IVR inputs 20mV - 100mV IVR 1.2V Encryption Engine Energy Harvester IVR 1.2V Encryption Engine Integrated Circuit Buck (Down-Conversion) Inductive IVR Integrated Circuit Boost (Up-Conversion) Mount power attack at LDO inputs VRM 1.3V LDO 1.2V Encryption Engine Integrated Circuit 29 Integrated Low-Dropout-Regulator (Analog/Digital) 29

30 IVR for Power Attack Protection Plain Text Key Encryption Algorithm Physical Design Package Measurement 30

31 Leveraging IVR for Power Attack Protection Plain Text Key Encryption Algorithm Physical Design Raw Current Transformed Current Measurement Low-Drop-Out Regulators Voltage Regulator Package Inductive VR Integrated Voltage Regulator 31

32 An Example of Fully Integrated Inductive Voltage Regulator M. Kar et. al, CICC 2014, GOMACTECH 2014, TCAD (under prep) Frequency dependent transfer function of the loop changes small signal load current Addition of pulsating current at the switching frequency 32

33 Why IVR-based Countermeasure? Case-study of an 128-bit AES Engine Current (A) Current (A) Load Current for one AES Encryption Relevant Information (1 st SBOX op n ) Measured IVR input current (with package) Pulsating Current at Switching Frequency F SW) Correlation with load current, µ:0.048 σ:0.02 IVR introduces non-linear transformation in the load current before the trace is measured at the inputs. The input current is weakly correlated with the AES load current. However low correlation > no attack M. Kar et. al, CICC 2014, GOMACTECH 2014, IEEE TCAD (under prep) 33

34 Correlation Power Attack (CPA) Raw AES Current MTD ~ 500 Transformed AES Current through PDN MTD ~ 500 CPA attack was successful without IVR 34

35 CPA with IVR Design 2 Design 2, BW 55MHz Design 1, BW 62MHz No attack was possible with traces Design 1 MTD ~ 500 IVR design can be tuned to enhance 35

36 Inductive IVR Design Space Exploration Normalized Power Loss Power Loss: Summation of conduction, ripple and switching losses in IVR PL vs BW Bandwidth (MHz) Power Efficiency Improved Power Efficiency Normalized SeBling Time IVR Design Space SeBling Time vs BW Transient Performance Improved Transient response Bandwidth (MHz) Settling time: Time the IVR output takes to settle after a sharp load transient (10mA to 500mA) Information Leakage Cost of Integration Improved Power Attack Resistance BW(MHz) L (nh) C (uf) Increasing difficulty of integration 36

37 Countermeasure using Analog Low-Drop-Out Regulator A. Singh et. al., ISLPED 2015 Overhead Analysis of Analog LDObased Protection Area Power Performance 1.4% 5% (active) 500nW (stby) 0.4% No Attack for 20k traces AES Input Current MTD ~25 LDO Input Current 37

38 Conclusion Securing power constrained devices is a major challenge for current and future embedded systems. Low power constraints can be a bottleneck to enable strong encryption scheme and/or countermeasures. Low-power techniques provide new avenues to enhance countermeasures to attacks. What do low power requirements mean for secure hardware? New opportunities for embedded systems security 38

39 Acknowledgement PhD Students Monodeep Kar, GREEN Lab, ECE, Gatech, Arvind Singh, GREEN Lab, ECE, Gatech, Industrial Collaborators Vivek De, Intel Labs, Hillsboro, OR Anand Rajan, Intel Labs, Hillsboro, OR Academic Collaborators Marilyn Wolf, ECE, Gatech Swarup Bhunia, ECE, UFL 39

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology Somnath Kundu and Chris H. Kim University of Minnesota Dept. of ECE 1 Presentation Outline Analog vs. digital Low DropOut

More information

Evaluation of On-chip Decoupling Capacitor s Effect on AES Cryptographic Circuit

Evaluation of On-chip Decoupling Capacitor s Effect on AES Cryptographic Circuit R1-3 SASIMI 2013 Proceedings Evaluation of On-chip Decoupling Capacitor s Effect on AES Cryptographic Circuit Tsunato Nakai Mitsuru Shiozaki Takaya Kubota Takeshi Fujino Graduate School of Science and

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Evaluation of the Masked Logic Style MDPL on a Prototype Chip

Evaluation of the Masked Logic Style MDPL on a Prototype Chip Evaluation of the Masked Logic Style MDPL on a Prototype Chip Thomas Popp, Mario Kirschbaum, Thomas Zefferer Graz University of Technology Institute for Applied Information Processing and Communications

More information

Design of a High Throughput 128-bit AES (Rijndael Block Cipher)

Design of a High Throughput 128-bit AES (Rijndael Block Cipher) Design of a High Throughput 128-bit AES (Rijndael Block Cipher Tanzilur Rahman, Shengyi Pan, Qi Zhang Abstract In this paper a hardware implementation of a high throughput 128- bits Advanced Encryption

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

Variety of scalable shuffling countermeasures against side channel attacks

Variety of scalable shuffling countermeasures against side channel attacks Variety of scalable shuffling countermeasures against side channel attacks Nikita Veshchikov, Stephane Fernandes Medeiros, Liran Lerman Department of computer sciences, Université libre de Bruxelles, Brussel,

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

V out. V in VRM. I Load

V out. V in VRM. I Load Optimal Selection of Voltage Regulator Modules in a Power Delivery Network Behnam Amelifard Massoud Pedram Department of Electrical Engineering University of Southern California Outline Introduction Voltage

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

Power Analysis Based Side Channel Attack

Power Analysis Based Side Channel Attack CO411/2::Individual Project I & II Report arxiv:1801.00932v1 [cs.cr] 3 Jan 2018 Power Analysis Based Side Channel Attack Hasindu Gamaarachchi Harsha Ganegoda http://www.ce.pdn.ac.lk Department of Computer

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION

ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION A Thesis Presented to The Academic Faculty by Ashwin Srinath Subramanian In Partial Fulfillment of the Requirements for the Degree

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

When Electromagnetic Side Channels Meet Radio Transceivers

When Electromagnetic Side Channels Meet Radio Transceivers Screaming Channels When Electromagnetic Side Channels Meet Radio Transceivers Giovanni Camurati, Sebastian Poeplau, Marius Muench, Tom Hayes, Aurélien Francillon What s this all about? - A novel attack

More information

Strategic Analog Power Management IP for Systems on a Chip

Strategic Analog Power Management IP for Systems on a Chip Strategic Analog Power Management IP for Systems on a Chip Bal S. Sandhu, Anand Savanth ARM Ltd. ARM TechCon 2013 ATC-116, October 29, 2013 Abstract Modern system on chips (SoC) integrates an increasing

More information

Exploiting On-Chip Voltage Regulators as a Countermeasure Against Power Analysis Attacks

Exploiting On-Chip Voltage Regulators as a Countermeasure Against Power Analysis Attacks University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School May 2017 Exploiting On-Chip Voltage Regulators as a Countermeasure Against Power Analysis Attacks Weize Yu

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Regulator Isolation and PowerStic-Exodus Operation

Regulator Isolation and PowerStic-Exodus Operation Regulator Isolation and PowerStic-Exodus Operation Voltage regulator manufacturers specify their devices in terms of forward transfer (S21) or, unregulated input to regulated output performance characteristics.

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Georgia Tech. Greetings from. Machine Learning and its Application to Integrated Systems

Georgia Tech. Greetings from. Machine Learning and its Application to Integrated Systems Greetings from Georgia Tech Machine Learning and its Application to Integrated Systems Madhavan Swaminathan John Pippin Chair in Microsystems Packaging & Electromagnetics School of Electrical and Computer

More information

Creating Intelligence at the Edge

Creating Intelligence at the Edge Creating Intelligence at the Edge Vladimir Stojanović E3S Retreat September 8, 2017 The growing importance of machine learning Page 2 Applications exploding in the cloud Huge interest to move to the edge

More information

High Speed ECC Implementation on FPGA over GF(2 m )

High Speed ECC Implementation on FPGA over GF(2 m ) Department of Electronic and Electrical Engineering University of Sheffield Sheffield, UK Int. Conf. on Field-programmable Logic and Applications (FPL) 2-4th September, 2015 1 Overview Overview Introduction

More information

Power Analysis Attacks on SASEBO January 6, 2010

Power Analysis Attacks on SASEBO January 6, 2010 Power Analysis Attacks on SASEBO January 6, 2010 Research Center for Information Security, National Institute of Advanced Industrial Science and Technology Table of Contents Page 1. OVERVIEW... 1 2. POWER

More information

Recommendations for Secure IC s and ASIC s

Recommendations for Secure IC s and ASIC s Recommendations for Secure IC s and ASIC s F. Mace, F.-X. Standaert, J.D. Legat, J.-J. Quisquater UCL Crypto Group, Microelectronics laboratory(dice), Universite Catholique de Louvain(UCL), Belgium email:

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders (sanders@eecs.berkeley.edu) Angel V. Peterchev Jinwen Xiao Jianhui Zhang EECS Department University of California, Berkeley Digital Control

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Analysis and Mitigation of Process Variation Impacts on Power-Attack Tolerance

Analysis and Mitigation of Process Variation Impacts on Power-Attack Tolerance Analysis and Mitigation of Process Variation Impacts on Power-Attack Tolerance Lang Lin Department of Electrical and Computer Engineering, University of Massachusetts Amherst, MA llin@ecs.umass.edu Wayne

More information

Time-Memory Trade-Offs for Side-Channel Resistant Implementations of Block Ciphers. Praveen Vadnala

Time-Memory Trade-Offs for Side-Channel Resistant Implementations of Block Ciphers. Praveen Vadnala Time-Memory Trade-Offs for Side-Channel Resistant Implementations of Block Ciphers Praveen Vadnala Differential Power Analysis Implementations of cryptographic systems leak Leaks from bit 1 and bit 0 are

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications

OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications OFDM Based Low Power Secured Communication using AES with Vedic Mathematics Technique for Military Applications Elakkiya.V 1, Sharmila.S 2, Swathi Priya A.S 3, Vinodha.K 4 1,2,3,4 Department of Electronics

More information

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis Opportunities and Challenges in Ultra Low Voltage CMOS Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless sensors RFID

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT Energy autonomous wireless sensors: InterSync Project FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT 2 Contents Introduction to the InterSync project, facts & figures Design

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

DATA SECURITY USING ADVANCED ENCRYPTION STANDARD (AES) IN RECONFIGURABLE HARDWARE FOR SDR BASED WIRELESS SYSTEMS

DATA SECURITY USING ADVANCED ENCRYPTION STANDARD (AES) IN RECONFIGURABLE HARDWARE FOR SDR BASED WIRELESS SYSTEMS INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976-6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

icwaves Inspector Data Sheet

icwaves Inspector Data Sheet Inspector Data Sheet icwaves Advanced pattern-based triggering device for generating time independent pulses to avoid jitter and time-related countermeasures in SCA or FI testing. Riscure icwaves 1/9 Introduction

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

DIFFERENTIAL power analysis (DPA) attacks can obtain

DIFFERENTIAL power analysis (DPA) attacks can obtain 438 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 5, MAY 2016 Charge-Withheld Converter-Reshuffling: A Countermeasure Against Power Analysis Attacks Weize Yu and Selçuk Köse,

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

Exploring Computation- Communication Tradeoffs in Camera Systems

Exploring Computation- Communication Tradeoffs in Camera Systems Exploring Computation- Communication Tradeoffs in Camera Systems Amrita Mazumdar Thierry Moreau Sung Kim Meghan Cowan Armin Alaghi Luis Ceze Mark Oskin Visvesh Sathe IISWC 2017 1 Camera applications are

More information

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V

A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V A 23 nw CMOS ULP Temperature Sensor Operational from 0.2 V Divya Akella Kamakshi 1, Aatmesh Shrivastava 2, and Benton H. Calhoun 1 1 Dept. of Electrical Engineering, University of Virginia, Charlottesville,

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

What About Switched Capacitor Converters?

What About Switched Capacitor Converters? What About Switched Capacitor Converters? Grad Students: Michael Seeman, Vincent Ng, and Hanh-Phuc Le Profs. Seth Sanders and Elad Alon EECS Department, UC Berkeley Switched Capacitor Power Converters

More information

MCU with 315/433/868/915 MHz ISM Band Transmitter Module

MCU with 315/433/868/915 MHz ISM Band Transmitter Module MCU with 315/433/868/915 MHz ISM Band Transmitter Module (The purpose of this RFM60 spec covers mainly for the hardware and RF parameter info of the module, for MCU and software info please refer to RF60

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Electromagnetic-based Side Channel Attacks

Electromagnetic-based Side Channel Attacks Electromagnetic-based Side Channel Attacks Yasmine Badr 10/28/2015 What is Side Channel Attack Any attack based on information gained from the physical implementation of a cryptosystem, rather than brute

More information

A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2

A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2 Session 22 Sensors and Integration A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2 Haowei Jiang, Chih-Cheng Huang, Matthew Chan, and Drew A. Hall University of California,

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING Batruni, Roy (Optichron, Inc., Fremont, CA USA, roy.batruni@optichron.com); Ramachandran, Ravi (Optichron,

More information

CESEL: Flexible Crypto Acceleration. Kevin Kiningham Dan Boneh, Mark Horowitz, Philip Levis

CESEL: Flexible Crypto Acceleration. Kevin Kiningham Dan Boneh, Mark Horowitz, Philip Levis CESEL: Flexible Crypto Acceleration Kevin Kiningham Dan Boneh, Mark Horowitz, Philip Levis Cryptography Mathematical operations to secure data Fundamental for building secure systems Computationally intensive:

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

DETECTING POWER ATTACKS ON RECONFIGURABLE HARDWARE. Adrien Le Masle, Wayne Luk

DETECTING POWER ATTACKS ON RECONFIGURABLE HARDWARE. Adrien Le Masle, Wayne Luk DETECTING POWER ATTACKS ON RECONFIGURABLE HARDWARE Adrien Le Masle, Wayne Luk Department of Computing, Imperial College London 180 Queen s Gate, London SW7 2BZ, UK email: {al1108,wl}@doc.ic.ac.uk ABSTRACT

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Methodologies for power analysis attacks on hardware implementations of AES

Methodologies for power analysis attacks on hardware implementations of AES Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 8-1-2009 Methodologies for power analysis attacks on hardware implementations of AES Kenneth James Smith Follow

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Transform. Jeongchoon Ryoo. Dong-Guk Han. Seoul, Korea Rep.

Transform. Jeongchoon Ryoo. Dong-Guk Han. Seoul, Korea Rep. 978-1-4673-2451-9/12/$31.00 2012 IEEE 201 CPA Performance Comparison based on Wavelet Transform Aesun Park Department of Mathematics Kookmin University Seoul, Korea Rep. aesons@kookmin.ac.kr Dong-Guk Han

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables

MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables MEMS Oscillators: Enabling Smaller, Lower Power IoT & Wearables The explosive growth in Internet-connected devices, or the Internet of Things (IoT), is driven by the convergence of people, device and data

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

SIDE-CHANNEL attacks exploit the leaked physical information

SIDE-CHANNEL attacks exploit the leaked physical information 546 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 7, JULY 2010 A Low Overhead DPA Countermeasure Circuit Based on Ring Oscillators Po-Chun Liu, Hsie-Chia Chang, Member, IEEE,

More information

On-Chip Voltage Regulation for Power Management in. System-on-Chip

On-Chip Voltage Regulation for Power Management in. System-on-Chip On-Chip Voltage Regulation for Power Management in System-on-Chip BY JULIANA GJANCI B.S. University of Illinois at Chicago, 2006 THESIS Submitted as partial fulfillment of the requirements For the degree

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Swaroop Ghosh and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information