A Study of Closed Loop Application: WLCD CDC for 32nm and beyond reticles

Size: px
Start display at page:

Download "A Study of Closed Loop Application: WLCD CDC for 32nm and beyond reticles"

Transcription

1 A Study of Closed Loop Application: WLCD CDC for nm and beyond reticles Arosha Goonesekera a, Ute Buttgereit b, Thomas Thaler b, Erez Graitzer c a Carl Zeiss NTS LLC., SMS Division, Corporation Way, 96 Peabody, MA b Carl Zeiss SMS GmbH, Carl-Zeiss-Promenade, 775 Jena, Germany c Carl Zeiss SMS Ltd., Maale Camon, Karmiel, 6 Israel ABSTRACT Optical lithography stays at 9nm with a numerical aperture of.5 for several more years before moving to EUV lithography. Utilization of 9nm lithography for nm and beyond forces the mask maker to produce complex mask designs and tighter lithography specifications which in turn make process control more important than ever. High yield with regards to chip production requires accurate process control. Critical Dimension Uniformity (CDU) is one of the key parameters necessary to assure good performance and reliable functionality of any integrated circuit. There are different contributors which impact the total wafer CDU: mask CD uniformity, resist process, scanner and lens fingerprint, wafer topography, etc. In this study the newly developed wafer level CD metrology tool WLCD of Carl Zeiss SMS is utilized for CDU measurements in conjunction with the CDC tool from Carl Zeiss SMS which provides CD uniformity correction. The WLCD measures CD based on proven aerial imaging technology. The CDC utilizes an ultrafast femto-second laser to write intra-volume shading elements (Shade-In Elements ) inside the bulk material of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. The objective of this study is to evaluate the usage of these two tools in a closed loop process to optimize CDU of the mask before leaving the mask shop and to ensure improved intra-field CDU at wafer level. Main focus of the study is to investigate the correlation of applied attenuation by CDC and the resulting CD change, the impact of CDC process on CD linearity behavior and the correlation of WLCD data and wafer data. Logic and SRAM cells with features having designed line CD s at wafer level, ranging from 7nm to nm have been used for the study. The investigation provides evidence that the applied attenuation by CDC shows a linear correlation to CD change at wafer level measured with WLCD. Additionally, WLCD data shows that the CDC application does not impact the CD linearity for the tested feature range. The WLCD measurement data in turn show an excellent correlation to wafer print CD data indicating cost effective use case of closed loop WLCD/CDC application. Key words: CD, CDU, CDC, WLCD, reticle, metrology, CDU correction, linearity, aerial image. INTRODUCTION Semiconductor industry is pushing the 9nm lithography to the next technology nodes even down to nm before moving to EUV Lithography. Various resolution enhancement techniques have been implemented to drive resolution to the theoretical limits where k factor comes close to.5. This means that CD errors on mask are getting highly amplified on wafer due to a tremendously increased Mask Error Enhancement Factor (MEEF). In order to maintain a high yield in production, process control becomes an essential element. One key parameter to ensure a high and reliable functionality for any integrated circuit is the critical dimension uniformity (CDU). In this study our main focus is to investigate the correlation of the applied attenuation by CDC and the resulting CD change, the impact of CDC process on CD linearity behavior and the correlation of WLCD data and wafer data. The mask CD uniformity has been measured by WLCD which measures the CD based on proven aerial image technology. Dedicated attenuation changes have been applied to selected areas of the mask using CDC tool. These selected areas contain logic and SRAM cells with designed line CDs from 7nm to nm at wafer level. The post CD uniformity has also been measured with WLCD. The data show evidence that the applied attenuation by CDC shows a linear correlation to CD change at wafer level and also the CDC application does not impact the CD linearity for the tested feature range. In addition, the WLCD measurements show an excellent correlation to wafer print CD data. Photomask Technology, edited by Wilhelm Maurer, Frank E. Abboud, Proc. of SPIE Vol. 866, 8669 SPIE CCC code: X//$8 doi:.7/ Proc. of SPIE Vol

2 . EXPERIMENTAL SET-UP. CD Control - CDC The CDC process utilizes shading elements inside the mask bulk to attenuate the light during the wafer exposure. The CDC process creates small pixels that consist of QZ with a different morphology which create a slightly different refractive index (delta n). This delta n causes a small amount of scattering outside of the scanner objective pupil and hence causes attenuation. The CDC process basic set-up is described in Figure. Figure : CDC Process: At the focal point of the laser beam a pixel is created. Quartz density is altered, and so is the local index of refraction. Each pixel acts as a scattering element. In order to improve intra-field CD uniformity, shading elements of specific attenuation level or pixel density are applied to each specific area in the mask. Figure shows the relevant shading elements: Figure : Applying shading elements to the mask reduces light transmission locally and effectively reduces the local dose. This causes all features to print at a CD closer to target. The utilization of CDC process was thoroughly investigated using wafer CDU data as input,, 5 and in production. In this work we used the tool to apply variable or constant attenuation to the selected regions of the test mask to investigate the correlation of applied attenuation to corresponding CD change and also to investigate how well the corresponding CD change preserves the CD linearity.. Mask Metrology WLCD Aerial Image CD Measurement Zeiss Wafer Level CD metrology system WLCD is based on proven aerial imaging technology. It measures the CD on the reticle in the wafer level plane as it is relevant to printing, (see Fig. ). It captures optical proximity effects and optical MEEF effects induced by the scanner illumination. The use of the WLCD significantly simplifies the CD measurement especially for complex mask designs and complex D features. Proc. of SPIE Vol

3 Figure : WLCD measures the CD on mask as it is relevant for printing, simplifying the CD measurement especially for complex mask design The WLCD is equipped with new Zeiss 9nm imaging and illumination optics. The LITO -grade optics has extremely low aberrations and comes close to the quality of the scanner optics. The variable NA allows measurements up to a scanner equivalent NA of.. A new 9nm laser is used for ultra fast CD measurements of several hundred CD s per hour. The tool is equipped with user defined aperture planes for off-axis illumination in order to illuminate the mask under the same conditions as a scanner. Additionally, the newly developed Free-Form Illumination devices can be used to adopt the illumination not only in geometrical shape but also in intensity distribution. The Free-Form Illumination devices fully support SMO technology. Furthermore, different illumination polarizations (tangential, x, y) are available. Vector effects by high NA imaging can be taken into account by using the Zeiss proprietary scanner mode. For CD measurement the user can define several regions of interest within the field of view, which allows CD measurements on arbitrary features, e.g. logic features, in-cell features.. Test mask description The mask used for the work is a special design containing identical cells shown in Fig. (a) that consist of both logic and SRAM features. The cell structure is shown in Fig. (b), which consists of 9 sub cells. We used two sub-cell rows one each of logic (L) and SRAM (S) consist of 7 sub-cells. S+ S+ S+ S S- S S- S+ S+ S+ S S- S S- S+ S+ S+ S S- S S- L+ L+ L+ L L- L L- L+ L+ L+ L L- L L- L+ L+ L+ L L- L L- (a) (b) Figure : (a) Schematic overview of the mask layout and (b) cell structure consisting of logic (L) and SRAM (S) futures Proc. of SPIE Vol

4 The objective of the study was two fold: () investigation of CD uniformity and correlation to wafer data and () investigation of linearity and impact of CDC application on CD linearity behavior. CD uniformity study: For the investigation of CD uniformity and wafer data correlation study, we chose a SRAM feature as the target across the plate consisting of 8 sites. The sample grid is shown in Fig. 5. A special illumination has been used at immersion lithography conditions. The WLCD data were captured at 8 sites and wafer print data were also captured at identical locations. Same illumination conditions were used for wafer print performed by an immersion scanner. After pre CDC uniformity measurement a dedicated attenuation scheme was applied with the use of CDC application at 6 areas of the mask as shown in Fig. 5, which produces a change in CD at the wafer level. In four regions an attenuation gradient has been applied: -% and % in the direction noted by the arrows in Fig. 5. In the remaining two regions, a constant % attenuation has been applied. After this process, WLCD and wafer print CD data were captured. These pre and post CDC data from WLCD and wafer print CD data have been used for analysis. Figure 5: The 8 point sample plan located within variable attenuation applied areas with CDC application CD linearity study: For the linearity study we chose 6 cells within the plate as marked in black within Fig. 6. A sub-cell row [Fig (b)] was chosen to capture the linearity data, each consisting of 7 logic features and 7 SRAM features. The data were captured before and after the CDC application with variable attenuation levels as described in the previous paragraph. However, no attenuation has been applied to two sites that have been used as reference for this study. In this case too, the same special illumination scheme has been used as for the CD uniformity investigation. The fourteen pre and post CDC data points per each of the 6 sites taken with WLCD tool and wafer print CD measurement tool have been used for analysis. Figure 6: The 6 sites used for the CD linearity study. Four sites are in variable attenuated areas and sites are in no attenuation area and can be used for reference Proc. of SPIE Vol

5 . RESULTS AND DISCUSSION. CD Uniformity: The objective of CD uniformity investigation is to see how well the CD measured with the WLCD tool correlates to the CD measured at the wafer after printing, both before and after the CDC process. Additionally, we investigated how much CD change is caused by % attenuation of the CDC application (CDC Ratio). The targeted feature for the CD uniformity study is a SRAM Line/Space structure of a dedicated design CD. We used the design CD at wafer level as target CD to determine the WLCD threshold using a reference feature and found a threshold of.5. This threshold value has been applied to all 8 points to determine the CD map across the mask before and after CDC application. Figure 7 shows the CDU map from wafer print and WLCD measurements across the plate before the CDC application. The wafer CD data have been measured using optical scatterometry. Pre MTT (nm) <= -. Pre MTT (nm) <= -. 9 <= -.57 <= -. <= -.7 <= -.9 <=. 9 <= -.57 <= -. <= -.7 <= -.9 <=. 8 <=.57 8 <=.57 PosY 7 6 <=. >. MaskPosY 7 6 <=. > PosX MaskPosX Figure 7(a): CDU distribution for SRAM feature determined from wafer print measurements before CDC application Figure 7(b): CDU distribution for SRAM feature determined from WLCD measurements on mask before CDC application As can be seen the wafer print and WLCD CDU signatures are highly correlated and show a radial CD distribution. The CDU statistics show that the measured CDU ranges obtained by wafer print and WLCD are very similar. The difference between wafer print and WLCD data is only.6 nm in terms of CDU range. The CDU -sigma values only differ by. nm. Post MTT (nm) <= -.5 Post MTT (nm) <= <= -.7 <= -. <= -. <=. <=. 9 <= -.7 <= -. <= -. <=. <=. 8 <=.7 8 <=.7 PosY 7 6 <=. >. MaskPosY 7 6 <=. > PosX MaskPosX Figure 8(a): CDU distribution for SRAM feature determined from wafer print measurements after CDC application Figure 8(b): CDU distribution for SRAM feature determined from WLCD measurements on mask after CDC application Proc. of SPIE Vol

6 Post CDU data for wafer print and WLCD are shown in Figure 8. The effect of applied attenuation on CD at the 6 areas is clearly indicated in both contour plots. However, wafer print data show less pronounced effect than WLCD data. A comparison of CD change (delta CD: post - pre) data from WLCD measurement and wafer print data are shown in Fig. 9, where the radius of the circles is proportional to the CD change at each location. WLCD data clearly indicate that the correlation of CD change corresponds to applied attenuation. Although wafer data indicate a correlation to applied attenuation, the plot shows significant measurement noise in the wafer print data. This can be clearly seen by the CD variation within the untreated areas of the test mask. The measurement noise in the wafer print data is also confirmed in Fig. (a) where delta CD (post pre) from wafer print data is plotted against applied attenuation. The CD variation in the untreated area at % attenuation indicates the measurement noise which is in a range of.7 nm at wafer. Scanner conditions, wafer process and OCD tool noise are possible contributing factors for the observed noise in wafer print CD data. The noise of WLCD data is in the range of. nm at wafer level visualized in Fig. (b) at the % attenuation Mask Position Y Mask Position Y Mask Position X Mask Position X Figure 9(a): Delta CD distribution (post pre) for SRAM feature determined from wafer print measurements after CDC application Figure 9(b): Delta CD distribution (post pre) for SRAM feature determined from WLCD measurements on mask after CDC application Figure shows the correlation of applied attenuation and delta CD for wafer print data and WLCD data before and after CDC application. The applied attenuation is well correlated to change of CD in WLCD data demonstrated by an excellent R² of.96. Delta CD vs attenuation plot has been used to determines the CDC ratio Delta CD (nm).. Delta CD (nm) y =.857x +.79 R = y =.696x +.88 R = Attenuation (%) Attenuation (%) Figure (a): Delta CD vs attenuation plot determines the CDC ratio for the wafer print data. The CDC ratio is.9 nm per % attenuation. Figure (b): Delta CD vs attenuation plot determines the CDC ratio for the WLCD data. The CDC ratio is.6 nm per % attenuation. Proc. of SPIE Vol

7 The CDC ratio is.6 nm per % attenuation for WLCD data and.9 nm for wafer print data. The discrepancy in CDC ratio for WLCD data and Wafer print data can be explained by resist effects that are not captured by the WLCD. Additionally, the poor signal to noise ratio which yields to a low R² of.5 only, impacts the quality of the wafer slope value as well.. CDC impact on Linearity The objective of the linearity investigation is to see the impact of applied CDC attenuation on the linearity behavior of the CD change. Also we used our study to investigate how well linearity behavior is preserved after the CDC application. The targeted features for this work are seven SRAM Line/Space structures and seven Logic Line/Space structures as shown in table. SRAM (nm) S- S S- S S+ S+ S+ LOGIC (nm) L- L L- L L+ L+ L+ Table : Feature sizes of logic and SRAM features used for the linearity study The mid designed CD from each category has been used as the target CD to determine the WLCD threshold, which is S for SRAM features and L for Logic features. Corresponding threshold values were found to be.5 and.8 respectively and have been kept constant for the linearity evaluation. The seven CD values for the six sites corresponding to Logic and SRAM features are shown in Fig. before CDC treatment. Fig. shows the Mean to Target deviation (MTT) variation for each feature CD and measurement site. In this case the target value corresponds to the mid CD values (L and S), averaged over the 6 sites. It can be seen that the CD variation between the different sites is very low Logic Site Site Site Site Site5 Site6 - - SRAM Site Site Site Site Site5 Site6.5 L- L L- L L+ L+ L+ - S- S S- S S+ S+ S+ Fig (a) WLCD vs Designed CD data for logic features Fig (b) WLCD vs Designed CD data for SRAM futures In Figure the corresponding averaged CD s over the six sites measured with WLCD are plotted against the average CD on the wafer print measured with optical CD measurement technique. The plots show a very good correlation between wafer print CD values and WLCD values for both logic and SRAM features with R nearly one along with similar slopes of about.85. Delta Wafer Print CD (nm) Logic y =.86x +.58 R = Delta WLCD CD (nm) Fig (a): WLCD (average) vs Wafer print CD (average) data for logic features (pre CDC) Delta Wafer Print CD (nm) - - SRAM y =.856x -.85 R = Delta WLCD CD (nm) Fig (b): WLCD (average) vs Wafer print CD (average) data for SRAM features (pre CDC) Proc. of SPIE Vol

8 The data for CD change for the respective sites as a result of CDC application are shown in Fig., where delta CD measured with WLCD is plotted against the designed CD. As expected, site shows the largest CD change for all feature sizes corresponding to nearly % attenuation by CDC application. Additionally, it can be seen that the CD change per feature size is almost the same over the considered feature size range. Sites, and show reasonably correlated delta CD values corresponding to applied attenuations. Site 5 and 6 were not treated with CDC application and the values shown for delta CD are representing WLCD tool noise level. In the untreated areas we measure delta CD values of.5nm (at wafer) only. WLCD Delta Pre-post (nm) L- L L- L L+ L+ L+ Logic Site Site Site Site Site5 Site6 WLCD Delta Pre-post (nm) S- S S- S S+ S+ S+ SRAM Site Site Site Site Site 5 Site 6 -. Design CD -. Design CD Fig (a): WLCD delta CD (post pre) vs design CD data for logic features for different attenuation (% to %) Fig (b): WLCD delta CD (post pre) vs design CD data for SRAM features for different attenuation (% to %) Fig. (a), (b), (c) and (d) show the CD linearity data before and after the CDC application for both Logic and SRAM features. The data strongly demonstrate how well the CD linearity has been preserved after the CDC application. - - y =.686x -.8 R =.9987 Logic- site ~.5% y =.75x R =.9978 L- L L- L L+ L+ L y =.66x -.87 R =.996 SRAM- site ~.5% y =.85x R =.9965 S- S S- S S+ S+ S+ Fig (a): Linearity behavior of the WLCD measured CD vs design CD before and after CDC application for site where applied attenuation is nearly.5% - - y =.69x -.67 R =.9965 Logic -Site ~.% y =.69x R =.9956 L- L L- L L+ L+ L y =.988x -.65 R =.9985 SRAM - Site (~.%) y =.98x R =.9995 S- S S- S S+ S+ S+ Fig (b): Linearity behavior of the WLCD measured CD vs design CD before and after CDC application for site where applied attenuation is nearly %. Proc. of SPIE Vol

9 - - y =.697x R =.998 Logic- site ~.5% y =.699x -.58 R =.998 L- L L- L L+ L+ L y =.58x -.6 R =.997 SRAM- site ~.5% y =.979x R =.9985 S- S S- S S+ S+ S+ Fig (c): Linearity behavior of the WLCD measured CD vs design CD before and after CDC application for site where applied attenuation is nearly.5%. - - y =.699x R =.9976 Logic- site ~.% y =.68x -.59 R =.9978 L- L L- L L+ L+ L y =.x -.85 R =.996 SRAM- site ~.% y =.x -.87 R =.9978 S- S S- S S+ S+ S+ Fig (d): Linearity behavior of the WLCD measured CD vs design CD before and after CDC application for site where applied attenuation is nearly %. Additionally, WLCD data show a very good linear correlation to design CD with R values of nearly, which are also preserved after CDC application. The linearity behavior has not been affected by CDC application which is clearly demonstrated by the constant slopes of the plots above as summarized in Table (). The data clearly demonstrate that the CDC process does not change the CD linearity. In the evaluated CD range the CDC ratio (CDCR) is independent of the absolute feature CD. This proves that CDC application is equivalent to dose change, a very important fact for using CDC process in production. Attenuation Logic (Slope Pre) Logic (Slope Post) SRAM (Slope Pre) SRAM (Slope Post) Site ~.5% Site ~ % Site ~.5% Site ~ % Table : The slopes of the linear fits for all sites before and after CDC application remain constant. SUMMARY AND CONCLUSIONS In this work we have focused on CD uniformity measurement using WLCD and the correlation of WLCD data to wafer print data. Additionally, we investigated the impact of CDC application on the CD linearity behavior. Dedicated attenuation changes have been applied to selected areas of the mask using CDC tool and CD change before and after the CDC application have been measured with WLCD tool on the mask and with optical CD tool on wafer print. These selected areas contain logic and SRAM cells with designed target CD ranging from 7 nm to nm. The following results have been demonstrated: - WLCD measures the CDU uniformity correctly and shows an excellent correlation to wafer print data - The CDC treatment does not change the CD linearity behavior for the considered CD range demonstrated by constant slopes for logic and SRAM cells Proc. of SPIE Vol

10 REFERENCES [] Sven Martin, Holger Seitz, Wolfgang Degel, Ute Buttgereit, Thomas Scherübl, WLCD: A new System for Wafer Level CD Metrology on Photomasks, Proc. SPIE Vol. 77, pp. 77T-77T-9 (9). [] F.Dufaye, S.Gough, F.Sundermann, V.Farysa, H.Miyashita, L.Sartelli, F. Perissinotti, U.Buttgereit, S.Perlitz, R.Birkner, Mask phase and transmission variation effects on wafer critical dimensions for nodes 65nm and 5nm, Proc. SPIE Vol. 755, 755M () [] Guy Ben-Zvi, Eitan Zait, Vladimir Krugliakov, Vladimir Dmitriev, Gidi Gottlieb, Sergey Oshemkov, " The Effect of Intra-field CD Uniformity Control (CDC) on Mask Birefringence" EMLC 7 [] Pforr et al. Performance comparison of techniques for intra-field CD control improvement, Proc. SPIE Vol. 67, 67 (7) [5] Sz-Huei Wang, Yu-Wan Chen, Chung Ming Kuo,Erez Graitzer, Guy Ben-Zvi, Avi Cohen," Expanding The Lithographygraphy Process Window (PW) With CDC Technology", Proc. SPIE Vol. 788, 788I (9) [6] Ute Buttgereit, Robert Birkner, Mark Joyner, Erez Graitzer, Avi Cohen, Hiroyuki Miyashita, Benedetta Triulzi, Alejandro Fasciszewski Zeballos, and Carmelo Romeo, CD uniformity correction on 5-nm technology nonvolatile memory, Proc. SPIE Vol. 768, 768K () [7] Bertrand Le Gratiet, Frank Sundermann, Jean Massin, Marianne Decaux, Nicolas Thivolle, Fabrice Baron, Alain Ostrovsky, Cedric Monget, Jean Damien Chapon, Yoann Blancquaert, Karen Dabertrand, Lionel Thevenon, Benedicte Bry, Nicolas Cluet, Bertrand Borot, Raphael Bingert, Thierry Devoivre, Pascal Gourard, Laurène Babaud, Ute Buttgereit, Robert Birkner, Mark Joyner, Erez Graitzer, and Avi Cohen; Improved CD control for 5- nm CMOS logic patterning: anticipation for 8 nm, Proc. SPIE Vol. 768, 768A () Proc. of SPIE Vol

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement

Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Process Window improvement on 45 nm technology Non Volatile Memory by CD uniformity improvement Ute Buttgereit a, Robert Birkner a, Erez Graitzer b, Avi Cohen b, Benedetta Triulzi c, Carmelo Romeo c a

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Photomask. Mask Tuning for Process Window Improvement N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Mask Tuning for Process Window Improvement N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2011 Volume 27, Issue 10 EMLC 2011 Invited Paper 7985-19 Mask Tuning for Process

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Photomask October. Photomask. A study of closed-loop application for logic patterning Awards N E W S. Take A Look Inside:

Photomask October. Photomask. A study of closed-loop application for logic patterning Awards N E W S. Take A Look Inside: Photomask October BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. 2012 Volume 28, Issue 10 Photomask Japan 2012 Best Oral Paper Award A study of closed-loop

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography

Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Experimental Study of Effect of Pellicle on optical Proximity Fingerprint for 1.35 NA immersion ArF Lithography Lieve Van Look * a, Joost Bekaert a, Bart Laenens a, Geert Vandenberghe a, Jan Richter b,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Speed and Image Brightness uniformity of telecentric lenses

Speed and Image Brightness uniformity of telecentric lenses Specialist Article Published by: elektronikpraxis.de Issue: 11 / 2013 Speed and Image Brightness uniformity of telecentric lenses Author: Dr.-Ing. Claudia Brückner, Optics Developer, Vision & Control GmbH

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Katarina Logg, Kristofer Bodvard, Mikael Käll. Dept. of Applied Physics. 12 September Optical Microscopy. Supervisor s signature:...

Katarina Logg, Kristofer Bodvard, Mikael Käll. Dept. of Applied Physics. 12 September Optical Microscopy. Supervisor s signature:... Katarina Logg, Kristofer Bodvard, Mikael Käll Dept. of Applied Physics 12 September 2007 O1 Optical Microscopy Name:.. Date:... Supervisor s signature:... Introduction Over the past decades, the number

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes

PROCEEDINGS OF SPIE. Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Technology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes Masato

More information

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography Laser bandwidth effect on overlay budget and imaging for the 45 nm and nm technology nodes with immersion lithography Umberto Iessi a, Michiel Kupers b, Elio De Chiara a Pierluigi Rigolli a, Ivan Lalovic

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Automated asphere centration testing with AspheroCheck UP F. Hahne, P. Langehanenberg F. Hahne, P. Langehanenberg, "Automated asphere

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Improved scanner matching using Scanner Fleet Manager (SFM)

Improved scanner matching using Scanner Fleet Manager (SFM) Improved scanner matching using Scanner Fleet Manager (SFM) Shian-Huan Cooper Chiu a, Chin-Lung Lee a, Sheng-Hsiung Yu a, Kai-Lin Fu a, Min-Hin Tung a, Po-Chih Chen a ; Chao-Tien Huang b, Chien-Chun Elsie

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

APPLICATION NOTE

APPLICATION NOTE THE PHYSICS BEHIND TAG OPTICS TECHNOLOGY AND THE MECHANISM OF ACTION OF APPLICATION NOTE 12-001 USING SOUND TO SHAPE LIGHT Page 1 of 6 Tutorial on How the TAG Lens Works This brief tutorial explains the

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Next-generation DUV light source technologies for 10nm and below

Next-generation DUV light source technologies for 10nm and below Next-generation DUV light source technologies for 10nm and below Ted Cacouris, Greg Rechtsteiner, Will Conley Cymer LLC, 17075 Thornmint Court, San Diego, CA 92127 ABSTRACT Multi-patterning techniques

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Pupil wavefront manipulation for optical nanolithography

Pupil wavefront manipulation for optical nanolithography Pupil wavefront manipulation for optical nanolithography Monica Kempsell Sears a *, Joost Bekaert b, Bruce W. Smith a a RIT, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester, NY 14623 b IMEC

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information