Proceedings of. The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002)

Size: px
Start display at page:

Download "Proceedings of. The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002)"

Transcription

1 Proceedings of The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002)

2

3 Proceedings of The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002) July 2002 Isle of Bendor, France Editors Bernard Courtois Thomas Wik Yervant Zorian Sponsored by IEEE Computer Society IEEE Computer Society Technical Council on Test Technology IEEE Computer Society Technical Committee on VLSI In cooperation with IEEE Solid State Circuits Society Los Alamitos, California Washington Brussels Tokyo

4 Copyright 2002 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries may photocopy beyond the limits of US copyright law, for private use of patrons, those articles in this volume that carry a code at the bottom of the first page, provided that the per-copy fee indicated in the code is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA Other copying, reprint, or republication requests should be addressed to: IEEE Copyrights Manager, IEEE Service Center, 445 Hoes Lane, P.O. Box 133, Piscataway, NJ The papers in this book comprise the proceedings of the meeting mentioned on the cover and title page. They reflect the authors opinions and, in the interests of timely dissemination, are published as presented and without change. Their inclusion in this publication does not necessarily constitute endorsement by the editors, the IEEE Computer Society, or the Institute of Electrical and Electronics Engineers, Inc. IEEE Computer Society Order Number PR01617 ISBN ISBN (bookbroker) ISBN X (microfiche) ISSN Additional copies may be ordered from: IEEE Computer Society IEEE Service Center IEEE Computer Society Customer Service Center 445 Hoes Lane Asia/Pacific Office Los Vaqueros Circle P.O. Box 1331 Watanabe Bldg., P.O. Box 3014 Piscataway, NJ Minami-Aoyama Los Alamitos, CA Tel: Minato-ku, Tokyo Tel: Fax: JAPAN Fax: Tel: cs.books@computer.org customer-service@ieee.org Fax: tokyo.ofc@computer.org Editorial production by Stephanie Kawada Cover art production by Alex Torres Printed in the United States of America by The Printing House

5 MTDT 2002 Table of Contents Message from the Chairs...x Conference Committee...xi TTTC Information Joint Session with The Eighth IEEE International On-Line Testing Workshop (IOLTW 2002) Session A: Plenary Session Keynote Address Embedded Memory Test and Repair A. Kablanian Session B: Memory BIST Analysis and Application Chair: B. Courtois Defect-Oriented Analysis of Memory BIST Tests... 7 A. Jee A BIST-Based Solution for the Diagnosis of Embedded Memories Adopting Image Processing Techniques D. Appello, A. Fudoli, V. Tancorre, F. Corno, M. Rebaudengo, and M. Sonza Reorda v

6 A Scan-Bist Environment for Testing Embedded Memories F. Karimi and F. Lombardi Session C: Memory ECC and Soft Errors Chair: C. Hawkins Soft Error Protection for Embedded Memories M. Nicolaidis Fast and Compact Error Correcting Scheme for Reliable Multilevel Flash Memories D. Rossi, C. Metra, and B. Riccò High Speed 15 ns 4 Mbits SRAM for Space Application B. Coloma, P. Delaunay, and O. Husson Session D: High Reliability in Railway and Automotive Systems Chairs: R. Kleihorst S. D Angelo The YATE Fail-Safe Interface: The User s Point of View D. Bied-Charreton, D. Guillon, and B. Jacques Fault Tolerant Insertion and Verification: A Case Study A. Manzone and D. De Costantini Design and Implementation of a Self-Checking Scheme for Railway Trackside Systems L. Schiano, C. Metra, and D. Marino Session E: Embedded Memory Yield Enhancement Chair: F. Lombardi A Silicon-Based Yield Gain Evaluation Methodology for Embedded-SRAMs with Different Redundancy Scenarios E. Rondey, Y. Tellier, and S. Borri A March-Based Fault Location Algorithm for Static Random Access Memories V. A. Vardanian and Y. Zorian A Simulator for Evaluating Redundancy Analysis Algorithms of Repairable Embedded Memories R.-F. Huang, J.-F. Li, J.-C. Yeh, and C.-W. Wu Closing of the Joint IOLTW-MTDT Program vi

7 MTDT Plenary Session Keynote Address Challenges and Opportunities Created by the SoC Shockwave M. Templeton Session 1: Embedded Memory Systems and Test Optimization Chair: R. Leung Design and Test of a 9-Port SRAM for a 100 Gb/s STS-1 Switch R. Gibbins, R. D. Adams, T. Eckenrode, M. Ouellette, and Y. Wu Design of Embedded System for Video Coding with Logic-Enhanced DRAM and Configurable Process T. Kaya, I. Shirakawa, R. Miyamoto, and T. Onoye Adder Merged DRAM Architecture M. Hashimoto Session 2: Memory Test Strategies Chair: R. D. Adams March SS: A Test for All Static Simple RAM Faults S. Hamdioui, A. J. van de Goor, and M. Rodgers Random Testing of Multi-Port Static Random Access Memories F. Karimi, F. J. Meyer, and F. Lombardi Session 3: Fault Modeling Chair: C. Soldat A Fault Modeling Technique to Test Memory BIST Algorithms R. Venkatesh, S. Kumar, J. Philip, and S. Shukla Fault Modeling and Pattern-Sensitivity Testing for a Multilevel DRAM M. Redeker, B. F. Cockburn, D. G. Elliott, Y. Xiang, and S. A. Ung vii

8 An Investigation into Crosstalk Noise in DRAM Structures M. Redeker, B. F. Cockburn, and D. G. Elliott Session 4: Embedded Memory Compiler Tutorial Chair: C. Frey A. Shubat D. Gandhi Plenary Session Keynote Address SoC s Trends and Challenges going to 0.10 µm P. Magarshack Session 5: EPROM/EEPROM Design Chair: L. Bellefroid An Automated Design Methodology for EEPROM Cell (ADE) J. M. Portal, L. Forli, H. Aziza, and D. Née A Novel Memory Array Based on an Annular Single-Poly EPROM Cell for Use in Standard CMOS Technology C. Dray and P. Gendrier A New Single Ended Sense Amplifier for Low Voltage Embedded EEPROM Non Volatile Memories C. Papaix and J. M. Daga Session 6: Process Technology and Reliability Chair: TBD Validated 90 nm CMOS Technology Platform with Low-k Copper Interconnects for Advanced System-on-Chip (SoC) T. Devoivre, M. Lunenborg, C. Julien, J-P. Carrere, P. Ferreira, W. J. Toren, A. VandeGoor, P. Gayet, T. Berger, O. Hinsinger, P. Vannier, Y. Trouiller, Y. Rody, P-J. Goirand, R. Palla, I. Thomas, F. Guyader, D. Roy, B. Borot, N. Planes, S. Naudet, F. Pico, D. Duca, F. Lalanne, D. Heslinga, and M. Haond Converting an Embedded Low-Power SRAM from Bulk to PD-SOI M. R. Casu and P. Flatresse viii

9 Decreasing EEPROM Programming Bias with Negative Voltage, Reliability Impact R. Laffont, J. Razafindramora, P. Canet, R. Bouchakour, and J. M. Mirabel Session 7: Advanced Memory Technologies Panel Chair: B. F. Cockburn Panel on Advanced Embedded Memory Technologies B. F. Cockburn Author Index ix

Engineering of Computer-Based Systems

Engineering of Computer-Based Systems Proceedings Ninth Annual IEEE International Conference and Workshop on the Engineering of Computer-Based Systems 8-11 April 2002 Lund, Sweden Sponsored by IEEE Computer Society Technical Committee on Engineering

More information

Proceedings. 13 th IEEE International Workshop on. Rapid System Prototyping RSP 2002

Proceedings. 13 th IEEE International Workshop on. Rapid System Prototyping RSP 2002 Proceedings 13 th IEEE International Workshop on Rapid System Prototyping RSP 2002 Proceedings 13 th IEEE International Workshop on Rapid System Prototyping Darmstadt, Germany July 1 3, 2002 Sponsored

More information

Proceedings. 4-6 June 2003 Lake Como, Italy. Los Alamitos, California. Washington Brussels Tokyo

Proceedings. 4-6 June 2003 Lake Como, Italy. Los Alamitos, California. Washington Brussels Tokyo Proceedings Proceedings 4-6 June 2003 Lake Como, Italy Los Alamitos, California Washington Brussels Tokyo Copyright 2003 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved

More information

2016 IEEE Global Humanitarian Technology Conference (GHTC 2016)

2016 IEEE Global Humanitarian Technology Conference (GHTC 2016) 2016 IEEE Global Humanitarian Technology Conference (GHTC 2016) Seattle, Washington, USA 13-16 October 2016 IEEE Catalog Number: ISBN: CFP16GHT-POD 978-1-5090-2433-9 Copyright 2016 by the Institute of

More information

Testing: Academic & Industrial Conference Practice And Research Techniques

Testing: Academic & Industrial Conference Practice And Research Techniques Proceedings Testing: Academic & Industrial Conference Practice And Research 29-31 August 2006 Windsor, United Kingdom TAIC PART Sponsors The UK Engineering and Physical Sciences Research Council (EPSRC)

More information

IEEE and ACM International Symposium on Augmented Reality October 2001 New York, New York. Organized and Sponsored by.

IEEE and ACM International Symposium on Augmented Reality October 2001 New York, New York. Organized and Sponsored by. Proceedings IEEE and ACM International Symposium on Augmented Reality 29-30 October 2001 New York, New York Organized and Sponsored by Siemens Corporate Research Columbia University Computer Graphics &

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015)

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) 2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) Coventry, United Kingdom 14-15 October 2015 IEEE Catalog Number: ISBN: CFP1571I-POD 978-1-4673-9179-5 Copyright 2015 by the Institute

More information

a Novel Capacitor-less DRAM Cell FLOATING BODY CELL

a Novel Capacitor-less DRAM Cell FLOATING BODY CELL a Novel Capacitor- less DRAM Cell FLOATING BODY CELL Published by Pan Stanford Publishing Pte. Ltd. Penthouse Level, Suntec Tower 3 8 Temasek Boulevard Singapore 038988 Email: editorial@panstanford.com

More information

ADVANCED POWER ELECTRONICS CONVERTERS

ADVANCED POWER ELECTRONICS CONVERTERS ADVANCED POWER ELECTRONICS CONVERTERS IEEE Press 445 Hoes Lane Piscataway, NJ 08854 IEEE Press Editorial Board Tariq Samad, Editor in Chief George W. Arnold Mary Lanzerotti Linda Shafer Dmitry Goldgof

More information

Proceedings NASA/DoD Conference on. Evolvable Hardware

Proceedings NASA/DoD Conference on. Evolvable Hardware Proceedings 2002 NASA/DoD Conference on Evolvable Hardware i ii Proceedings 2002 NASA/DoD Conference on Evolvable Hardware 15-18 July 2002 Alexandria, Virginia Sponsored by National Aeronautics and Space

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Introduction to deep-submicron CMOS circuit design

Introduction to deep-submicron CMOS circuit design National Institute of Applied Sciences Department of Electrical & Computer Engineering Introduction to deep-submicron CMOS circuit design Etienne Sicard http:\\intrage.insa-tlse.fr\~etienne 1 08/09/00

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies

Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies STP 1486 Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies Bouzid Choubane, editor ASTM Stock Number: STP1486 ASTM 100 Barr Harbor Drive PO Box

More information

2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016)

2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016) 2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016) Yogyakarta, Indonesia 29-30 November 2016 IEEE Catalog Number: ISBN: CFP1646T-POD 978-1-5090-5109-0 Copyright 2016 by the Institute

More information

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT)

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT) International Journal of Reconfigurable and Embedded Systems (IJRES) Vol. 2, No. 1, March 2013, pp. 49~54 ISSN: 2089-4864 49 New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

PRACTICAL RF SYSTEM DESIGN

PRACTICAL RF SYSTEM DESIGN PRACTICAL RF SYSTEM DESIGN WILLIAM F. EGAN, Ph.D. Lecturer in Electrical Engineering Santa Clara University The Institute of Electrical and Electronics Engineers, Inc., New York A JOHN WILEY & SONS, INC.,

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Scopus, Web of Science, IEEE Xplore Digital Library.

Scopus, Web of Science, IEEE Xplore Digital Library. Ivannikov, A. Methods of Slew Rate Verification of Operational Amplifier Macro Model / A. Ivannikov, А. Kozhevnikov, S. Tumkovskiy // IEEE 2016 International Siberian Conference on Control and Communications

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

A Comparative Simulation Study of Four Multilevel DRAMs

A Comparative Simulation Study of Four Multilevel DRAMs A Comparative Simulation Study of Four Multilevel DRAMs Gershom Birk, Duncan Elliott, Bruce Cockburn Department of Electrical & Computer Engineering University of Alberta Edmonton, Alberta, Canada Outline

More information

Robotic Computing IRC Taichung, Taiwan April The First IEEE International Conference on

Robotic Computing IRC Taichung, Taiwan April The First IEEE International Conference on IRC 2017 The First IEEE International Conference on Robotic Computing Taichung, Taiwan 10-12 April 2017 Sponsored by Asia University, Taiwan Ministry of Science and Technology, Taiwan HIWIN Technologies

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Application of Automation Technology in Fatigue and Fracture Testing and Analysis 6th Volume

Application of Automation Technology in Fatigue and Fracture Testing and Analysis 6th Volume McKeighan Braun Helping our world work better www.astm.org ASTM International ISBN: 978-0-8031-7587-7 Stock #: STP1571 Application of Automation Technology in Fatigue and Fracture Testing and Analysis:

More information

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow R. Leveugle, A. Ammari TIMA Laboratory 46, Avenue Félix Viallet - 38031 Grenoble Cedex FRANCE - E-mail: Regis.Leveugle@imag.fr

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

FUNCTION ANALYSIS REPORT

FUNCTION ANALYSIS REPORT FUNCTION ANALYSIS REPORT Part No. XXXXXXXXXXXXXXXXXXXXX FUNCTION ANALYSIS REPORT Important notice regarding the use of this report: This report is prepared for the exclusive use and benefit of the purchasing

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

Figure 1: Quaternary D Latch

Figure 1: Quaternary D Latch REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH Ch.Chandini, A.Maria Jossy Dept. of ECE, SRM University, Kattankulathur-603203 chandinichatrathi@gmail.com, jossydeepan@gmail.com Abstract

More information

Self-Test Designs in Devices of Avionics

Self-Test Designs in Devices of Avionics International Conference on Engineering Education and Research Progress Through Partnership 2004 VŠB-TUO, Ostrava, ISSN 1562-3580 Self-Test Designs in Devices of Avionics Yun-Che WEN, Yei-Chin CHAO Tzong-Shyng

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Fault Tolerance in VLSI Systems

Fault Tolerance in VLSI Systems Fault Tolerance in VLSI Systems Overview Opportunities presented by VLSI Problems presented by VLSI Redundancy techniques in VLSI design environment Duplication with complementary logic Self-checking logic

More information

Keynote Speakers. Perception Technology for Automated Vehicles. Alberto Broggi

Keynote Speakers. Perception Technology for Automated Vehicles. Alberto Broggi Keynote Speakers Perception Technology for Automated Vehicles Alberto Broggi Prof., Ph.D., IEEE Fellow, director of VisLab, at the Università di Parma Abstract VisLab has been active in the field of intelligent

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS

HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS ERCOFTAC SERIES VOLUME 3 Series Editors P. Hutchinson, Chairman ERCOFTAC, Cranfield University, Bedford, UK W. Rodi, Chairman ERCOFTAC Scientific Programme

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Propagation Delay Analysis of a Soft Open Defect inside a TSV

Propagation Delay Analysis of a Soft Open Defect inside a TSV Kondo et al.: Propagation Delay Analysis (1/8) [Short Note] Propagation Delay Analysis of a Soft Open Defect inside a TSV Shohei Kondo, Hiroyuki Yotsuyanagi, and Masaki Hashizume Institute of Technology

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Interconnect testing of FPGA

Interconnect testing of FPGA Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

TECHNICAL REPORT. On the Design of a Negative Voltage Conversion Circuit. Yiorgos E. Tsiatouhas

TECHNICAL REPORT. On the Design of a Negative Voltage Conversion Circuit. Yiorgos E. Tsiatouhas TECHNICAL REPORT On the Design of a Negative Voltage Conversion Circuit Yiorgos E. Tsiatouhas University of Ioannina Department of Computer Science Panepistimioupolis, P.O. Box 1186, 45110 Ioannina, Greece

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Highly Reliable Arithmetic Multipliers for Future Technologies

Highly Reliable Arithmetic Multipliers for Future Technologies Highly Reliable Arithmetic Multipliers for Future Technologies Lisbôa, C. A. L. Instituto de Informática - UFRGS Av. Bento Gonçalves, 9500 - Bl. IV, Pr. 43412 91501-970 - Porto Alegre - RS - Brasil calisboa@inf.ufrgs.br

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

REFLECTARRAY ANTENNAS

REFLECTARRAY ANTENNAS REFLECTARRAY ANTENNAS IEEE Press 445 Hoes Lane Piscataway, NJ 08854 IEEE Press Editorial Board Mohamed E. El-Hawary, Editor in Chief R. Abari T. G. Croda R. J. Herrick S. Basu S. Farshchi S. V. Kartalopoulos

More information

Springer Series in Advanced Microelectronics 33

Springer Series in Advanced Microelectronics 33 Springer Series in Advanced Microelectronics 33 The Springer Series in Advanced Microelectronics provides systematic information on all the topics relevant for the design, processing, and manufacturing

More information

Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs

Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs Kang Yi 1, Kyeong Hoon Jung 2, Shih-Yang Cheng 3, Young-Hwan Park 3, Fadi Kurdahi 3, and Ahmed

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

CMOS circuit design Simulator in hands

CMOS circuit design Simulator in hands Deep-submicron CMOS circuit design Simulator in hands Etienne Sicard Sonia Delmas Bendhia Version 1.1 1 05/04/03 Acknowledgements Jean-Pierre Schoellkopf, Joseph-Georges Ferrante, Amaury Soubeyran, Thomas

More information

Dynamic Memory Design for Low Data-Retention Power

Dynamic Memory Design for Low Data-Retention Power Dynamic Memory Design for Low Data-Retention Power Joohee Kim and Marios C. Papaefthymiou Advanced Computer Architecture Laboratory Department of Electrical Engineering and Computer Science University

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Performance Analysis of 4-bit Flash ADC with Different Comparators Designed in 0.18um Technology

Performance Analysis of 4-bit Flash ADC with Different Comparators Designed in 0.18um Technology Performance Analysis of 4-bit Flash with Different Comparators Designed in 0.18um Technology A.Nandhini PG Scholar, Dept of ECE Kumaraguru College of Technology Coimbatore -641 049 M.Shanthi Associate

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

BIOMEDICAL E T H I C S REVIEWS

BIOMEDICAL E T H I C S REVIEWS HUMAN CLONING BIOMEDICAL E T H I C S REVIEWS Edited by James M. Humber and Robert F. Almeder BOARD OF EDITORS William Bechtel Washington University St. Louis, Missouri William J. Curran Harvard School

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

PROCEEDINGS OF SPIE. , "Front Matter: Volume 8488," Proc. SPIE 8488, Zoom Lenses IV, (19 October 2012); doi: /12.

PROCEEDINGS OF SPIE. , Front Matter: Volume 8488, Proc. SPIE 8488, Zoom Lenses IV, (19 October 2012); doi: /12. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Front Matter: Volume 8488, "Front Matter: Volume 8488," Proc. SPIE 8488, Zoom Lenses IV, 848801 (19 October 2012); doi: 10.1117/12.2014167

More information

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION

DESIGN AND ANALYSIS OF PHASE FREQUENCY DETECTOR USING D FLIP-FLOP FOR PLL APPLICATION International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1389-1395 Research India Publications http://www.ripublication.com DESIGN AND ANALYSIS OF PHASE FREQUENCY

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings Archive - Session 2 2015 BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings With Thanks to Our Sponsors! Premier Honored Distinguished Publication Sponsor 2 Proceedings Presentation

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

Design and implementation of low power, area efficient, multiple output voltage level shifter using 45nm design technology

Design and implementation of low power, area efficient, multiple output voltage level shifter using 45nm design technology IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 13, Issue 3, Ver. II (May. - June. 2018), PP 68-72 www.iosrjournals.org Design and implementation

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits

A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits A Built-In-Test Circuit for Functional Verification & PVT Variations Monitoring of CMOS RF Circuits Guoyan Zhang, Magdalena Sánchez Mora, Ronan Farrell Institute of Microelectronics and Wireless Systems

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction Implementation Of Radix-10 Matrix Code Using High Speed For Error Correction Grace Abraham 1, Nimmy M Philip 2, Deepa N R 3 1 M.Tech Student (VLSI & ES), Dept. Of ECE, FISAT, MG University, Kerala, India

More information

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS Takayasu Sakurai University of Tokyo Akira Matsuzawa Tokyo Institute of Technology and Takakuni Douseki NTT Corporation

More information