Proceedings. 13 th IEEE International Workshop on. Rapid System Prototyping RSP 2002

Size: px
Start display at page:

Download "Proceedings. 13 th IEEE International Workshop on. Rapid System Prototyping RSP 2002"

Transcription

1 Proceedings 13 th IEEE International Workshop on Rapid System Prototyping RSP 2002

2

3 Proceedings 13 th IEEE International Workshop on Rapid System Prototyping Darmstadt, Germany July 1 3, 2002 Sponsored by IEEE Computer Society Technical Committee on Simulation IEEE Computer Society Technical Committee on Design Automation Los Alamitos, California Washington Brussels Tokyo

4 Copyright 2002 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries may photocopy beyond the limits of US copyright law, for private use of patrons, those articles in this volume that carry a code at the bottom of the first page, provided that the per-copy fee indicated in the code is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA Other copying, reprint, or republication requests should be addressed to: IEEE Copyrights Manager, IEEE Service Center, 445 Hoes Lane, P.O. Box 133, Piscataway, NJ The papers in this book comprise the proceedings of the meeting mentioned on the cover and title page. They reflect the authors opinions and, in the interests of timely dissemination, are published as presented and without change. Their inclusion in this publication does not necessarily constitute endorsement by the editors, the IEEE Computer Society, or the Institute of Electrical and Electronics Engineers, Inc. IEEE Computer Society Order Number PR01703 ISBN X ISBN (case) ISBN (microfiche) ISSN Number Additional copies may be ordered from: IEEE Computer Society IEEE Service Center IEEE Computer Society Customer Service Center 445 Hoes Lane Asia/Pacific Office Los Vaqueros Circle P.O. Box 1331 Watanabe Bldg., P.O. Box 3014 Piscataway, NJ Minami-Aoyama Los Alamitos, CA Tel: Minato-ku, Tokyo Tel: Fax: JAPAN Fax: Tel: cs.books@computer.org customer-service@ieee.org Fax: tokyo.ofc@computer.org Editorial production by Bob Werner Cover art production by Joe Daigle/Studio Productions Printed in the United States of America by The Printing House

5 Table of Contents 13 th IEEE International Workshop on Rapid System Prototyping Chairs Message...vii Introduction...viii Acknowledgments...ix Conference Committees...x Keynote Speech Market Estimation for System Prototyping EDA Segment...2 M. Pavesi Session 1: Prototyping Micro Architectures Design of Application Specific CISC Using PEAS-III...12 A. Kitajima, T. Sasaki, Y. Takeuchi, and M. Imai Rapid Prototyping of FPGA Based Floating Point DSP Systems...19 C. Ho, M. Leong, P. Leong, J. Becker, and M. Glesner Prototyping of Fuzzy Logic-Based Controllers Using Standard FPGA Development Boards...25 S. Sánchez-Solano, R. Senhadji, A. Cabrera, I. Baturone, C. Jiménez, and A. Barriga Session 2: Case Studies and Applications A Flexible H.263 Video Coder Prototype Based on FPGA...34 M. Garrido, C. Sanz, M. Jiménez, and J. Meneses Prototyping of a High Performance Generic Viterbi Decoder...42 A. Obeid, A. Ortiz, R. Ludewig, and M. Glesner On the Rapid Prototyping of Equalizers for OFDM Systems...48 T. Pionteck, N. Toender, L. Kabulepa, T. Kella, and M. Glesner Prototyping Ethernet in the First Mile over Point-to-Point Copper...53 M. Beck, E. Borghs, J. Jacobs, A. Mihanta, T. Pollet, and P. Vandaele Session 3: Mapping to FPGAs Benefits of Macro-Based Multi-FPGA Partitioning for Video Processing Applications...60 J. Martín-Langerwerf, C. Reuter, H. Kropp, and P. Pirsch Hybrid Multi-FPGA Board Evaluation by Limiting Multi-Hop Routing...66 S. Jain, A. Kumar, and S. Kumar Keynote Speech: FPGA s Rapidly Bridging Worlds, Y. Tanurhan v

6 Session 4: Reconfigurable Software Rapid Prototyping of Transition Management Code for Reconfigurable Control Systems...76 M. Guler, N. Kejriwal, L. Wills, S. Clements, B. Heck, and G. Vachtsevanos Reconfigurable Hardware Control Software...84 C. Hinkelbein, A. Kugel, R. Männer, and M. Müller Interfacing Software Libraries from Non-Deterministic Prototypes...92 S. Chachkov and D. Buchs Validating Object-Oriented Prototype of Real-Time Systems with Timed Automata...99 G. Shu, C. Li, Q. Wang, and M. Li Session 5: High-Level Modeling Issues From Object-Oriented Modeling to Code Generation for Rapid Prototyping of Embedded Electronic Systems M. Kühl, C. Reichmann, I. Prötel, and K. Müller-Glaser System Prototyping by Integration of Reconfigurable Hardware into a Heterogeneous System Model K. Buchenrieder, U. Nageldinger, A. Pyttel, and A. Sedlmeier ISA Based System Design Language in HW/SW Co-Design Environment H. Yanagisawa, M. Uehara, and H. Mori Session 6: New Synthesis and Estimation Approaches System-Level Co-Synthesis of Dataflow Dominated Applications on Reconfigurable Hardware/Software Architectures M. Véstias and H. Neto Power Estimation Based on Transition Activity Analysis with an Architecture Precise Rapid Prototyping System R. Ludewig, A. Ortiz, T. Murgan, and M. Glesner Session 7: Tools and Framework for Supporting RSP Framework for Validation, Test and Analysis of Real-Time Scheduling Algorithms and Scheduler Implementations F. Golatowski, J. Hildebrandt, J. Blumenthal, and D. Timmermann A Rapid Prototyping Environment for Distributed Reconfigurable Systems C. Bobda and N. Steenbock RAPIDO: A Modular, Multi-Board, Heterogeneous Multi-Processor, PCI Bus Based Prototyping Framework for the Validation of SoC VLSI Designs N. Busá, G. Alkadi, M. Verberne, R. Llopis, and S. Ramanathan PICARD: Platform Concepts for Prototyping and Demonstration of High Speed Communication Systems M. Wouters, T. Huybrechts, R. Huys, S. De Rore, S. Sanders, and E. Umans Author Index vi

Engineering of Computer-Based Systems

Engineering of Computer-Based Systems Proceedings Ninth Annual IEEE International Conference and Workshop on the Engineering of Computer-Based Systems 8-11 April 2002 Lund, Sweden Sponsored by IEEE Computer Society Technical Committee on Engineering

More information

Proceedings. 4-6 June 2003 Lake Como, Italy. Los Alamitos, California. Washington Brussels Tokyo

Proceedings. 4-6 June 2003 Lake Como, Italy. Los Alamitos, California. Washington Brussels Tokyo Proceedings Proceedings 4-6 June 2003 Lake Como, Italy Los Alamitos, California Washington Brussels Tokyo Copyright 2003 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved

More information

2016 IEEE Global Humanitarian Technology Conference (GHTC 2016)

2016 IEEE Global Humanitarian Technology Conference (GHTC 2016) 2016 IEEE Global Humanitarian Technology Conference (GHTC 2016) Seattle, Washington, USA 13-16 October 2016 IEEE Catalog Number: ISBN: CFP16GHT-POD 978-1-5090-2433-9 Copyright 2016 by the Institute of

More information

Proceedings of. The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002)

Proceedings of. The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002) Proceedings of The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT 2002) Proceedings of The 2002 IEEE International Workshop on Memory Technology, Design and Testing (MTDT

More information

Proceedings NASA/DoD Conference on. Evolvable Hardware

Proceedings NASA/DoD Conference on. Evolvable Hardware Proceedings 2002 NASA/DoD Conference on Evolvable Hardware i ii Proceedings 2002 NASA/DoD Conference on Evolvable Hardware 15-18 July 2002 Alexandria, Virginia Sponsored by National Aeronautics and Space

More information

Testing: Academic & Industrial Conference Practice And Research Techniques

Testing: Academic & Industrial Conference Practice And Research Techniques Proceedings Testing: Academic & Industrial Conference Practice And Research 29-31 August 2006 Windsor, United Kingdom TAIC PART Sponsors The UK Engineering and Physical Sciences Research Council (EPSRC)

More information

IEEE and ACM International Symposium on Augmented Reality October 2001 New York, New York. Organized and Sponsored by.

IEEE and ACM International Symposium on Augmented Reality October 2001 New York, New York. Organized and Sponsored by. Proceedings IEEE and ACM International Symposium on Augmented Reality 29-30 October 2001 New York, New York Organized and Sponsored by Siemens Corporate Research Columbia University Computer Graphics &

More information

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015)

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) 2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) Coventry, United Kingdom 14-15 October 2015 IEEE Catalog Number: ISBN: CFP1571I-POD 978-1-4673-9179-5 Copyright 2015 by the Institute

More information

Robotic Computing IRC Taichung, Taiwan April The First IEEE International Conference on

Robotic Computing IRC Taichung, Taiwan April The First IEEE International Conference on IRC 2017 The First IEEE International Conference on Robotic Computing Taichung, Taiwan 10-12 April 2017 Sponsored by Asia University, Taiwan Ministry of Science and Technology, Taiwan HIWIN Technologies

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016)

2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016) 2016 3rd Conference on Power Engineering and Renewable Energy (ICPERE 2016) Yogyakarta, Indonesia 29-30 November 2016 IEEE Catalog Number: ISBN: CFP1646T-POD 978-1-5090-5109-0 Copyright 2016 by the Institute

More information

2016 IEEE 10th International Conference on Self-Adaptive and Self-Organizing Systems (SASO 2016)

2016 IEEE 10th International Conference on Self-Adaptive and Self-Organizing Systems (SASO 2016) 2016 IEEE 10th International Conference on Self-Adaptive and Self-Organizing Systems (SASO 2016) Augsburg, Germany 12 16 September 2016 IEEE Catalog Number: ISBN: CFP16SAO-POD 978-1-5090-3535-9 Copyright

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

Sixth IEEE Workshop on Applications of Computer Vision (WACV 2002)

Sixth IEEE Workshop on Applications of Computer Vision (WACV 2002) WACV 2002 Proceedings Sixth IEEE Workshop on Applications of Computer Vision (WACV 2002) 3 4 December 2002 Orlando, Florida Sponsored by IEEE Computer Society Los Alamitos, California Washington Brussels

More information

FPGA Implementation of Self Tuned Fuzzy Controller Hand off Mechanism

FPGA Implementation of Self Tuned Fuzzy Controller Hand off Mechanism FPGA Implementation of Self Tuned Fuzzy Controller Hand off Mechanism Vikas M. N., Keshava K. N., Prabhas R. K., and Hameem Shanavas I. Abstract This paper presents a field programmable gate array (FPGA)

More information

FPGA IMPLEMENTATION OF HIGH SPEED AND LOW POWER VITERBI ENCODER AND DECODER

FPGA IMPLEMENTATION OF HIGH SPEED AND LOW POWER VITERBI ENCODER AND DECODER FPGA IMPLEMENTATION OF HIGH SPEED AND LOW POWER VITERBI ENCODER AND DECODER M.GAYATHRI #1, D.MURALIDHARAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 gayathrimurugan.12

More information

Simulation Model for Switching of Mobile Base Station

Simulation Model for Switching of Mobile Base Station Simulation Model for Switching of Mobile Base Station Akshata U., Gopika D. K., Vikas M. N., and Hameem Shanavas I. Abstract This paper presents a field programmable gate array (FPGA) implementation of

More information

ADVANCED POWER ELECTRONICS CONVERTERS

ADVANCED POWER ELECTRONICS CONVERTERS ADVANCED POWER ELECTRONICS CONVERTERS IEEE Press 445 Hoes Lane Piscataway, NJ 08854 IEEE Press Editorial Board Tariq Samad, Editor in Chief George W. Arnold Mary Lanzerotti Linda Shafer Dmitry Goldgof

More information

Lecture Notes in Computer Science

Lecture Notes in Computer Science Lecture Notes in Computer Science Edited by G. Goos and J. Hartmanis 284 A. KSndig R.E. BShrer J. D&hler (Eds.) Embedded Systems New Approaches to Their Formal Description and Design An Advanced Course

More information

Integrated Circuits and Systems Design

Integrated Circuits and Systems Design Proceedings 15 th Symposium on Integrated Circuits and Systems Design i This page intentionally left blank. ii Proceedings 15 th Symposium on Integrated Circuits and Systems Design 9-14 September 2002

More information

PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems

PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems PRFloor: An Automatic Floorplanner for Partially Reconfigurable FPGA Systems Tuan D. A. Nguyen (1) & Akash Kumar (2) (1) ECE Department, National University of Singapore, Singapore (2) Chair of Processor

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Hardware/Software Codesign - introducing an interdisciplinary course

Hardware/Software Codesign - introducing an interdisciplinary course Hardware/Software Codesign - introducing an interdisciplinary course Micaela Serra and William B. Gardner Dept. of Computer Science Univ. of Victoria, Victoria, B.C. Canada mserra@csr.uvic.ca WCCCE Conference

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

BUILDING BLOCKS FOR CURRENT-MODE IMPLEMENTATION OF VLSI FUZZY MICROCONTROLLERS

BUILDING BLOCKS FOR CURRENT-MODE IMPLEMENTATION OF VLSI FUZZY MICROCONTROLLERS BUILDING BLOCKS FOR CURRENT-MODE IMPLEMENTATION OF VLSI FUZZY MICROCONTROLLERS J. L. Huertas, S. Sánchez Solano, I. Baturone, A. Barriga Instituto de Microelectrónica de Sevilla - Centro Nacional de Microelectrónica

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

EE382V: Embedded System Design and Modeling

EE382V: Embedded System Design and Modeling EE382V: Embedded System Design and System-Level Design Tools Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu : Outline Overview System-level design

More information

PROCEEDINGS OF SPIE. , "Front Matter: Volume 8488," Proc. SPIE 8488, Zoom Lenses IV, (19 October 2012); doi: /12.

PROCEEDINGS OF SPIE. , Front Matter: Volume 8488, Proc. SPIE 8488, Zoom Lenses IV, (19 October 2012); doi: /12. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Front Matter: Volume 8488, "Front Matter: Volume 8488," Proc. SPIE 8488, Zoom Lenses IV, 848801 (19 October 2012); doi: 10.1117/12.2014167

More information

Cognitive Systems Monographs

Cognitive Systems Monographs Cognitive Systems Monographs Volume 9 Editors: Rüdiger Dillmann Yoshihiko Nakamura Stefan Schaal David Vernon Heiko Hamann Space-Time Continuous Models of Swarm Robotic Systems Supporting Global-to-Local

More information

PRACTICAL RF SYSTEM DESIGN

PRACTICAL RF SYSTEM DESIGN PRACTICAL RF SYSTEM DESIGN WILLIAM F. EGAN, Ph.D. Lecturer in Electrical Engineering Santa Clara University The Institute of Electrical and Electronics Engineers, Inc., New York A JOHN WILEY & SONS, INC.,

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3

Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 Synthesis and Simulation of Floating Point Multipliers Dr. P. N. Jain 1, Dr. A.J. Patil 2, M. Y. Thakre 3 1Professor and Academic Dean, Department of E&TC, Shri. Gulabrao Deokar College of Engineering,

More information

Hardware/Software Codesign of Real-Time Systems

Hardware/Software Codesign of Real-Time Systems ARTES Project Proposal Hardware/Software Codesign of Real-Time Systems Zebo Peng and Anders Törne Center for Embedded Systems Engineering (CESE) Dept. of Computer and Information Science Linköping University

More information

SOFTWARE IMPLEMENTATION OF THE

SOFTWARE IMPLEMENTATION OF THE SOFTWARE IMPLEMENTATION OF THE IEEE 802.11A/P PHYSICAL LAYER SDR`12 WInnComm Europe 27 29 June, 2012 Brussels, Belgium T. Cupaiuolo, D. Lo Iacono, M. Siti and M. Odoni Advanced System Technologies STMicroelectronics,

More information

A Gentle Introduction to Dynamic Programming and the Viterbi Algorithm

A Gentle Introduction to Dynamic Programming and the Viterbi Algorithm A Gentle Introduction to Dynamic Programming and the Viterbi Algorithm Dr. Hubert Kaeslin Microelectronics Design Center ETH Zürich Extra teaching material for Digital Integrated Circuit Design, from VLSI

More information

Application of Automation Technology in Fatigue and Fracture Testing and Analysis 6th Volume

Application of Automation Technology in Fatigue and Fracture Testing and Analysis 6th Volume McKeighan Braun Helping our world work better www.astm.org ASTM International ISBN: 978-0-8031-7587-7 Stock #: STP1571 Application of Automation Technology in Fatigue and Fracture Testing and Analysis:

More information

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS

A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS A SOFTWARE RE-CONFIGURABLE ARCHITECTURE FOR 3G AND WIRELESS SYSTEMS E. Sereni 1, G. Baruffa 1, F. Frescura 1, P. Antognoni 2 1 DIEI - University of Perugia, Perugia, ITALY 2 Digilab2000 - Foligno (PG)

More information

Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies

Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies STP 1486 Pavement Surface Condition/Performance Assessment: Reliability and Relevancy of Procedures and Technologies Bouzid Choubane, editor ASTM Stock Number: STP1486 ASTM 100 Barr Harbor Drive PO Box

More information

Fifth IEEE International Symposium on Requirements Engineering

Fifth IEEE International Symposium on Requirements Engineering Proceedings Fifth IEEE International Symposium on Requirements Engineering August 27-31,2001 Royal York Hotel, Toronto, Canada Sponsored by IEEE Computer Society Technical Council on Software Engineering

More information

Image Enhancement using Hardware co-simulation for Biomedical Applications

Image Enhancement using Hardware co-simulation for Biomedical Applications Image Enhancement using Hardware co-simulation for Biomedical Applications Kalyani A. Dakre Dept. of Electronics and Telecommunications P.R. Pote (Patil) college of Engineering and, Management, Amravati,

More information

Evaluation of Mobile Ad Hoc Network with Reactive and Proactive Routing Protocols and Mobility Models

Evaluation of Mobile Ad Hoc Network with Reactive and Proactive Routing Protocols and Mobility Models Evaluation of Mobile Ad Hoc Network with Reactive and Proactive Routing Protocols and Mobility Models Rohit Kumar Department of Computer Sc. & Engineering Chandigarh University, Gharuan Mohali, Punjab

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

Self-Aware Adaptation in FPGAbased

Self-Aware Adaptation in FPGAbased DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE Self-Aware Adaptation in FPGAbased Systems IEEE FPL 2010 Filippo Siorni: filippo.sironi@dresd.org Marco Triverio: marco.triverio@dresd.org Martina Maggio: mmaggio@mit.edu

More information

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA 1 Neenu Joseph, 2 Dr. P Nirmal Kumar 1 Research Scholar, Department of ECE Anna University, Chennai,

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings Archive - Session 1 2015 BiTS Workshop Image: Zhu Difeng/Dollar Photo Club Proceedings With Thanks to Our Sponsors! Premier Honored Distinguished Publication Sponsor 2 Proceedings Presentation

More information

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

Best practice in participation in ECSEL Calls. Recommendations to prospective Bulgarian participants.

Best practice in participation in ECSEL Calls. Recommendations to prospective Bulgarian participants. Best practice in participation in ECSEL Calls. Recommendations to prospective Bulgarian participants. Zlatko Petrov Honeywell Aerospace Advanced Technology zlatko.petrov@honeywell.com petrov.zlatko@gmail.com

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Prototyping Unit for Modelbased Applications

Prototyping Unit for Modelbased Applications PUMA Software and hardware at the highest level Prototyping Unit for Modelbased Applications With PUMA, we offer a compact and universal Rapid-Control-Prototyping-Platform optionally with integrated power

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

2010 Annual Meeting of the North American Fuzzy Information Processing Society

2010 Annual Meeting of the North American Fuzzy Information Processing Society 2010 Annual Meeting of the North American Fuzzy Information Processing Society 12-14 July 2010 Toronto, Canada IEEE Catalog Number: CFP10750-USB ISBN: 978-1-4244-7857-6 2010 IEEE. Personal use of this

More information

Introduction to IEEE CAS Publications

Introduction to IEEE CAS Publications Introduction to IEEE CAS Publications Gianluca Setti 12 1 Dep. of Engineering (ENDIF) University of Ferrara 2 Advanced Research Center on Electronic Systems for Information Engineering and Telecommunications

More information

2010 IEEE/WIC/ACM International Conference on Web Intelligence WI 2010

2010 IEEE/WIC/ACM International Conference on Web Intelligence WI 2010 PROCEEDINGS 2010 IEEE/WIC/ACM International Conference on Web Intelligence WI 2010 31 August 3 September 2010 Toronto, Ontario, Canada Edited by Xiangji Jimmy Huang, Irwin King, Vijay Raghavan, and Stefan

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Original Research Articles

Original Research Articles Original Research Articles Researchers Vijaya Kumar P, Rajesh V Department of ECE, Faculty of Engineering & Technology. SRM University, Chennai Email- vijay_at23@rediffmail.com vrajesh@live.in On-Chip

More information

Bridge RF Design and Test Applications with NI SDR Platforms

Bridge RF Design and Test Applications with NI SDR Platforms Bridge RF Design and Test Applications with NI SDR Platforms Jason Strydom Application Engineer National Instruments - Midrand The National Instruments Vision To do for test and measurement what the spreadsheet

More information

ASQF e.v. (ed.) Arbeitskreis Software-Qualität und -Fortbildung e.v. Software Quality in Service-Oriented Architectures

ASQF e.v. (ed.) Arbeitskreis Software-Qualität und -Fortbildung e.v. Software Quality in Service-Oriented Architectures ASQF e.v. (ed.) Arbeitskreis Software-Qualität und -Fortbildung e.v. Software Quality in Service-Oriented Architectures Proceedings of the CONQUEST 2006 9th International Conference on Quality Engineering

More information

An Efficient Median Filter in a Robot Sensor Soft IP-Core

An Efficient Median Filter in a Robot Sensor Soft IP-Core IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 53-60 e-issn: 2319 4200, p-issn No. : 2319 4197 An Efficient Median Filter in a Robot Sensor Soft IP-Core Liberty

More information

IEEE Joint International Conference on Requirements Engineering

IEEE Joint International Conference on Requirements Engineering RE 2002 Proceedings IEEE Joint International Conference on Requirements Engineering 9 13 September 2002 Essen, Germany Corporate Sponsors IEEE Computer Society Technical Council on Software Engineering

More information

Scopus, Web of Science, IEEE Xplore Digital Library.

Scopus, Web of Science, IEEE Xplore Digital Library. Ivannikov, A. Methods of Slew Rate Verification of Operational Amplifier Macro Model / A. Ivannikov, А. Kozhevnikov, S. Tumkovskiy // IEEE 2016 International Siberian Conference on Control and Communications

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS

DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS DYNAMICALLY RECONFIGURABLE SOFTWARE DEFINED RADIO FOR GNSS APPLICATIONS Alison K. Brown (NAVSYS Corporation, Colorado Springs, Colorado, USA, abrown@navsys.com); Nigel Thompson (NAVSYS Corporation, Colorado

More information

Prototyping Next-Generation Communication Systems with Software-Defined Radio

Prototyping Next-Generation Communication Systems with Software-Defined Radio Prototyping Next-Generation Communication Systems with Software-Defined Radio Dr. Brian Wee RF & Communications Systems Engineer 1 Agenda 5G System Challenges Why Do We Need SDR? Software Defined Radio

More information

Call for Papers. NuernbergMesse / Frank Boxler. embedded world Conference Nürnberg, Germany

Call for Papers. NuernbergMesse / Frank Boxler. embedded world Conference Nürnberg, Germany Call for Papers NuernbergMesse / Frank Boxler embedded world Conference 27.2. 1.3.2018 Nürnberg, Germany www.embedded-world.eu IMPRESSIONS 2017 NuernbergMesse / Frank Boxler embedded world the leading

More information

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL Khalid B. Suliman 1, Rashid A. Saeed and Raed A. Alsaqour 3 1 Department of Electrical and Electronic Engineering,

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD IEC 62539 First edition 2007-07 IEEE 930 Guide for the statistical analysis of electrical insulation breakdown data Commission Electrotechnique Internationale International Electrotechnical

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator

Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Validation of Frequency- and Time-domain Fidelity of an Ultra-low Latency Hardware-in-the-Loop (HIL) Emulator Elaina Chai, Ivan Celanovic Institute for Soldier Nanotechnologies Massachusetts Institute

More information

IEEE REBOOTING COMPUTING WEEK. Patron & Exhibitor Opportunities DISCOVERY, REINVENTION, APPLICATION November 2017 Washington, D.C.

IEEE REBOOTING COMPUTING WEEK. Patron & Exhibitor Opportunities DISCOVERY, REINVENTION, APPLICATION November 2017 Washington, D.C. IEEE FUTURE DIRECTIONS EVENT Isaac Newton is reported to have said in 1676: "If I have seen further, it is by standing on the shoulders of giants." IEEE offers you another such opportunity in 2017. IEEE

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

Annals of Anthropological Practice

Annals of Anthropological Practice Annals of Anthropological Practice NAPA_41_1_cover.indd 1 Annals of Anthropological Practice 4 1. 1 Annals of Anthropological Practice (2153-957X) is published in May and November on behalf of the American

More information

Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit

Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit Application Note 097 Designing Filters Using the NI LabVIEW Digital Filter Design Toolkit Introduction The importance of digital filters is well established. Digital filters, and more generally digital

More information

Seamless Energy Management Systems. Part II: Development of Prototype Core Elements

Seamless Energy Management Systems. Part II: Development of Prototype Core Elements Seamless Energy Management Systems Part II: Development of Prototype Core Elements Final Project Report Power Systems Engineering Research Center Empowering Minds to Engineer the Future Electric Energy

More information

Springer Series in Advanced Microelectronics 33

Springer Series in Advanced Microelectronics 33 Springer Series in Advanced Microelectronics 33 The Springer Series in Advanced Microelectronics provides systematic information on all the topics relevant for the design, processing, and manufacturing

More information

This document is a preview generated by EVS

This document is a preview generated by EVS INTERNATIONAL STANDARD IEC 62032 Edition 2.0 2012-06 IEEE Std C57.135 Guide for the Application, Specification, and Testing of Phase-Shifting Transformers IEC 62032:2012(E) IEEE Std. C57.135:2011 THIS

More information

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers

Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Journal of Computer Science 7 (12): 1894-1899, 2011 ISSN 1549-3636 2011 Science Publications Field Programmable Gate Arrays based Design, Implementation and Delay Study of Braun s Multipliers Muhammad

More information

Introduction To Embedded Systems: Using ANSI C And The Arduino Development Environment (Synthesis Lectures On Digital Circuits And Systems) Ebooks

Introduction To Embedded Systems: Using ANSI C And The Arduino Development Environment (Synthesis Lectures On Digital Circuits And Systems) Ebooks Introduction To Embedded Systems: Using ANSI C And The Arduino Development Environment (Synthesis Lectures On Digital Circuits And Systems) Ebooks Free Many electrical and computer engineering projects

More information

TU Dresden uses National Instruments Platform for 5G Research

TU Dresden uses National Instruments Platform for 5G Research TU Dresden uses National Instruments Platform for 5G Research Wireless consumers insatiable demand for bandwidth has spurred unprecedented levels of investment from public and private sectors to explore

More information

Second Workshop on Pioneering Processor Paradigms (WP 3 )

Second Workshop on Pioneering Processor Paradigms (WP 3 ) Second Workshop on Pioneering Processor Paradigms (WP 3 ) Organizers: (proposed to be held in conjunction with HPCA-2018, Feb. 2018) John-David Wellman (IBM Research) o wellman@us.ibm.com Robert Montoye

More information

Proceedings. 28 th Euromicro Conference

Proceedings. 28 th Euromicro Conference Proceedings 28 th Euromicro Conference Proceedings 28 th Euromicro Conference September 4 6, 2002 Dortmund, Germany Editor Milagros Fernandez Co-Editors Component-Based Software Engineering Track Co-Chairs

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

THOMSON REUTERS MASTER JOURNAL LIST

THOMSON REUTERS MASTER JOURNAL LIST THOMSON REUTERS MASTER JOURNAL LIST Search terms: Total journals found: 36 1. ACM TRANSACTIONS ON INFORMATION AND SYSTEM Quarterly ISSN: 1094-9224 ASSOC COMPUTING MACHINERY, 2 PENN PLAZA, STE 701, NEW

More information

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA

Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers on FPGA 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design and Implementation of Parallel Micro-programmed FIR Filter Using Efficient Multipliers

More information

A Framework for Fast Hardware-Software Co-simulation

A Framework for Fast Hardware-Software Co-simulation A Framework for Fast Hardware-Software Co-simulation Andreas Hoffmann, Tim Kogel, Heinrich Meyr Integrated Signal Processing Systems (ISS), RWTH Aachen Templergraben 55, 52056 Aachen, Germany hoffmann[kogel,meyr]@iss.rwth-aachen.de

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS

HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS HIGH PERFORMANCE COMPUTING IN FLUID DYNAMICS ERCOFTAC SERIES VOLUME 3 Series Editors P. Hutchinson, Chairman ERCOFTAC, Cranfield University, Bedford, UK W. Rodi, Chairman ERCOFTAC Scientific Programme

More information

BIOMEDICAL E T H I C S REVIEWS

BIOMEDICAL E T H I C S REVIEWS HUMAN CLONING BIOMEDICAL E T H I C S REVIEWS Edited by James M. Humber and Robert F. Almeder BOARD OF EDITORS William Bechtel Washington University St. Louis, Missouri William J. Curran Harvard School

More information

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Using a design-to-test capability for LTE MIMO (Part 1 of 2) Using a design-to-test capability for LTE MIMO (Part 1 of 2) System-level simulation helps engineers gain valuable insight into the design sensitivities of Long Term Evolution (LTE) Multiple-Input Multiple-Output

More information

Determining Crash Data Using Camera Matching Photogrammetric Technique

Determining Crash Data Using Camera Matching Photogrammetric Technique SAE TECHNICAL PAPER SERIES 2001-01-3313 Determining Crash Data Using Camera Matching Photogrammetric Technique Stephen Fenton, William Neale, Nathan Rose and Christopher Hughes Knott Laboratory, Inc. Reprinted

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

TOOLS AND PROCESSORS FOR COMPUTER VISION. Selected Results from the Embedded Vision Alliance s Spring 2017 Computer Vision Developer Survey

TOOLS AND PROCESSORS FOR COMPUTER VISION. Selected Results from the Embedded Vision Alliance s Spring 2017 Computer Vision Developer Survey TOOLS AND PROCESSORS FOR COMPUTER VISION Selected Results from the Embedded Vision Alliance s Spring 2017 Computer Vision Developer Survey 1 EXECUTIVE SUMMARY Since 2015, the Embedded Vision Alliance has

More information

Implementation of Adaptive Viterbi Decoder

Implementation of Adaptive Viterbi Decoder Ipleentation of Adaptive Viterbi Decoder Devendra Made #1 VIII Se B.E.(Etrx) K.D.K.College of Engineering, Nagpur, Maharashtra(I) Asst. Prof. R.B. Khule *2 M.Tech V.L.S.I. K.D.K.College of Engineering,

More information