NATIONAL MICROELECTRONICS CENTRE (CNM) Microelectronics Institute of Barcelona (IMB) CNM-IMB Presentation

Size: px
Start display at page:

Download "NATIONAL MICROELECTRONICS CENTRE (CNM) Microelectronics Institute of Barcelona (IMB) CNM-IMB Presentation"

Transcription

1 NATIONAL MICROELECTRONICS CENTRE (CNM) Microelectronics Institute of Barcelona (IMB)

2 CNM Organisation Chart SPANISH COUNCIL FOR SCIENTIFIC RESEARCH (CSIC) Board of Trustees D+T Microelectrónica, A.I.E. NATIONAL MICROELECTRONICS CENTER (CNM) MICROELECTRONICS INTITUTE OF BARCELONA (IMB) MICROELECTRONICS INSTITUTE OF MADRID (IMM) MICROELECTRONICS INSTITUTE OF SEVILLA (IMSE) LARGE SCALE FACILITY (Integrated Clean Room)

3 CNM-IMB Organisation Chart Direction Vice-direction Management Departments Large Scale Facility Administration Micro-nanosystems Systems Integration Labs of Departaments Integrated Clean Room Technological Support Units General Services Maintenance

4 CNM-IMB STAFF (2006) Researchers 53 Students 44 Clean Room 32 Support Services 13 Management, Administration & General Services 23 Visitors 6 Total Budget: 7.5 M External Funding: 63 % External Funding Splitting: EU: 28% National: 49% Industry: 23%

5 External Funding Incomming (k ) Competitive Projects National Regional EU 1, ,275 2, ,308 3, Total Projects 2,325 3,616 4,166 Industrial Contracts 1,281 1,176 1,734 Total 3,606 4,792 5,900

6 Micro-nanosystems Department Silicon-based Micro and Nanotechnologies (MNS) Micro and Nano-devices (MND) Application Specific Micro and Nanosystems Development (DAE) Systems Integration Department CNM-IMB Research Lines Power Devices and Systems Integration (IDSP) Microelectronic Circuits and Systems Design and Packaging (DECSM) Biomedical Technologies, Devices and Systems (TDSB) Micro-Nanofabrication Facility (1,000m 2 expanding to 1,500m 2 Integrated Clean Room) Equipments, processes and technologies to deal with micro/nano-processes under an integrated approach

7 Biochemical System Characterisation Laboratory Impedance analyzer measurement equipment: Solartron 1260, impedance/gain-phase Analyzer (10μHz to 32MHz). Solartron 1294 Impedance interface (10 μhz to 1MHz),100 mohm to 100 Gohm, IEC601 safety for bio-impedance test on live subjects. Solartron WBB LF-Frequency Response Analyzer System (10μHz to 1Mhz). Potentiostats measurement equipment: Solartron 12608W Electrochemical and impedance analyzer System. (2A 200nA) Autolab PGstat10 A high voltage potentciostat/galvanostat ±1A,± 30V μautolabtype II ±80mA ±12V PalmSens handheld potentiostat

8 Power Devices and Systems Laboratory Static characterization of components: Source-measurement units (up to 1100V 10A) QS and HF CV measurement equipment (-200V/200V) Semiautomatic wafer probers with hot chuck (300ºC) Curve tracers (3300 V 400 A) Dynamic characterization of components: Specific measurement circuits for: Switching times Power switching losses Short-circuit characterization Gate driving characteristics ESD and surge characterization equipments Equipment for the design, development and characterization of power systems Multichip power modules fabrication facilities (reflow oven ) Probe cards fabrication equipment

9 Thermal Characterisation Laboratory Infrared Thermography measurement equipment: AGEMA Thermovision THV-900 Macroscopic lens 40º (Vision field: 73x46 mm) Microscopic lens (Vision field: 2x1 mm) Thermal conductivity measurement system K TH measurement of materials involved in power packages Internal Infrared Laser Deflection Technique (IIR-LD) Measurement of power devices internal temperature Measurement of free carrier concentration Liquid Crystal Thermography system (ThermVIEW) Surface temperature mapping using LCs Liquid crystals from 30 to 160ºC Spatial resolution up to 0.15 µm using ultra 20x zoom lens

10 Equipments: Advanced Packaging Laboratory Manual flip-chip and SMD mounting equipment DR. TRESKY Automatic flip-chip mounting equipment DATACON 2200PPS Screen Printing equipment for solder alloys deposition and serigraphy of different paste elements for chemical sensors Hot plate PMC 732 SERIES (Tmax=400ºC) Reflow furnace with vaccum capability ATV TECHNOLGIES Gmbh SRO702 (Tmax=450ºC) Techniques: Flip-chip mounting for MCM fabrication (applications with sensors, MEMS, power devices or low signal ICs) Power Modules assembly Deposition of solder paste and other materials by screen-printing

11 Integrated circuits and systems test laboratory Electrical and behavioral characterization of (micro)electronic circuits and systems. Spread range of applications: biomedical, sensors, RF communications, image capture and processing, Low frequency characterization Dynamic signal analyzer (up to 102KHz) Network/Spectrum Analyzer (10Hz to 500MHz) Multifunction synthesizer (0Hz to 600KHz, step 0.1Hz) RF applications characterization RF Spectrum Analyzer (9KHz to 2.9GHz) Vector Network analyzer (300KHz to 8GHz) Low Power Applications characterization Low noise current pre-amplifier Programmable current source (1nA to 100mA)

12 Physical Characterization Laboratory Physical characterisation Spreading Resistance measurement system Scanning Electron Microscope (SEM) Automatic polishing machine with multi-sample head Automatic polishing machine with two heads suitable for micro-sections Polishing machine for Spreading Resistance samples preparation Diamond saw 3 optical microscopes (with micro-measurement equipment, dark field, and interferential constant) Photomicroscope Plasma equipment for layer removal Probe system with submicron needles and Laser cutting facility Design + CAD Cadence IC package System package Mentor Analog/Mixed signal simulation Custom IC design High capacity circuit simulation Physical verification Physical optimization Static timing analysis Altera Quartus II Nios IP Synopsys System development tools Analogue simulation (Hspice) Front End and verification tools TCAD device and process simulation tools Ansys Ansys Multiphysics Flowmetrics Flowtherm Silvaco Suprem3 Athena Atlas Smart Spice

13 Electronic Systems Integration Service Prototypes production Capability of fabrication PCBs multilayer (4 layers) Through hole plating SMD/TH Components assembly Soldering Iron, De-soldering Tool, Pick and Place, Hot Air Rework Electronic Systems Design Service Development boards for embedded systems ARM, NIOS, ublaze Up to equivalent logic gates

14 The Large Scale Facility

15 Clean Room 1,000 m 2 House in house structure Class 10-10,000

16 Clean Room Equipment Thermal processes and CVD equipment Ion Implantation equipment Metallisation equipment Lithographic equipment (proximity and stepper) Nano-lithography (electron beam and nano-imprint) Focused Ion Beam (FIB) Dry etching equipment Wet etching and cleaning equipment In line test equipment Atomic force microscope

17 Technological Processes Wet and dry oxidations Ion implantation of B, P, As, N and Ar Diffusion (several ambients) CVD (Nitride, Polysilicon, Oxide, BPSG) Metallisation (Al-Si, Al-Cu, Al-Si-Cu, TaSi, Ti. Ni, Au, Pt) Polyimide Wet and dry etching Surface and bulk silicon micromachining Anodic bonding Packaging (Die bonding, Wire bonding, SMD, MCM-D) In line test (Ellipsometer, Optical interferometer, Profilemeter, Fourneedle probe) Lithography (Proximity, Step and repeat, Double side) Nanolithography (AFM, EB, nano-imprint, FIB)

18 Nanofabrication Laboratory Provide access to state-of-the art techniques for nanometer-scale fabrication and inspection Clean (class 100) Laboratory e-beam Nanolithography System (Leo Raith Elphy plus) Atomic Force Microsope (Nanoscope IV + Dimension 3100) Nanoimprint lithography (Obducat 4 NIL) Focused Ion Beam (ZEISS 1560XB (available since July 2006) All individual nanofabrication process steps are compatible with the CNM Clean Room Technologies

19 Technologies TECHNOLOGY TYPE CHARACTERISTICS APPLICATION CNM - CMOS CMOS 2 Poly - 2 Metals Analog / Digital CNM POWER Lateral and vertical DMOS Double Diffusion Power Devices SiC Power Diodes, JFETs, MOSFETs, MESFETs. High Temperature Sensors Planar and MESA Technology (2.0 µm) Power, High Temperature and Biomedical Devices CNM μsistems Si Sensors and Actuators Bulk and Surface micro-machining Microsystems CNM μsistems Pressure Sensors Piezo-resistive Low Pressure Measurements CNM - ISFET NMOS Floating Gate FETs Chemical Transducers MCM Si Substrates Active Substrates and flip-chip Multi-chip Modules CNM - TOI Si Integrated Optics Technology Dielectrics and Polymers Integrated Optical Components NANO-FABRICATION Si Nano-mechanical Structures Surface Nano-machining. Minimum feature size: 100nm Nano-mechanical and Nano-electromechanical Systems

20 Technological Support Electrical Characterisation Device Characterisation and parameter extraction (SPICE) Equipment maintenance and set up Production wafer parametric test Test structure design and characterisation New measurement techniques development Application specific system design and development (demonstrators) On-wafer electrical characterisation

21 Technological Support Design and CAD VHDL/Verilog to ASIC/FPGA: Modelling, simulation and synthesis of circuits, IPs and growing to systems on chip Support and training to users, purchases and general management of CAD CAD development for internal use Libraries and design kits development for internal and external technologies Management of external kits Back-end: P&R, delay extraction / backannotation and post layout functional and fault simulation

22 Facilities Expansion New Integrated Clean Room (Micro-Nano) 1,400 m 2 (End 2007) Clean Room Expansion Labs and Offices Building (1,400 m 2 )

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Micro-Nanofabrication

Micro-Nanofabrication Zheng Cui Micro-Nanofabrication TECHNOLOGIES AND APPLICATIONS ^f**"?* ö Springer Higher Education Press -T O Table of Content Preface About the Author Chapter 1 Introduction 1 1.1 Micro-nanotechnologies

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors

Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors R. Jiménez-Díaz 1, J.D. Prades 1 F. Hernández-Ramírez, J. Santander 3 C. Calaza 3, L. Fonseca 3, C. Cané 3 A. Romano-Rodriguez

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

Scientific Highlights 2016

Scientific Highlights 2016 Scientific Highlights 2016 Science and Technology Sector Schools and Faculties Faculty of Science Louvain School of Engineering (EPL) Faculty of Architecture, Architectural Engineering and Urban Planning

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

GaN Electrochemical Probes and MEMS on Silicon. Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez

GaN Electrochemical Probes and MEMS on Silicon. Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez GaN Electrochemical Probes and MEMS on Silicon Ulrich Heinle, Peter Benkart, Ingo Daumiller, Mike Kunze, Ertugrul Sönmez Outline Introduction Electrochemical sensors GaN-on-Silicon MEMS High temperature

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

D. Impedance probe fabrication and characterization

D. Impedance probe fabrication and characterization D. Impedance probe fabrication and characterization This section summarizes the fabrication process of the MicroCard bioimpedance probes. The characterization process is also described and the main electrical

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

PRESS KIT. High Accuracy Device Bonder with Robotics.

PRESS KIT. High Accuracy Device Bonder with Robotics. PRESS KIT High Accuracy Device Bonder with Robotics Press Announcement SET Introduces FC300R High Accuracy Device Bonder with Robotics FC300R: an Easy-to-Use Production Platform Ideal for High Accuracy

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Simulation and test of 3D silicon radiation detectors

Simulation and test of 3D silicon radiation detectors Simulation and test of 3D silicon radiation detectors C.Fleta 1, D. Pennicard 1, R. Bates 1, C. Parkes 1, G. Pellegrini 2, M. Lozano 2, V. Wright 3, M. Boscardin 4, G.-F. Dalla Betta 4, C. Piemonte 4,

More information

A new Vertical JFET Technology for Harsh Radiation Applications

A new Vertical JFET Technology for Harsh Radiation Applications A New Vertical JFET Technology for Harsh Radiation Applications ISPS 2016 1 A new Vertical JFET Technology for Harsh Radiation Applications A Rad-Hard switch for the ATLAS Inner Tracker P. Fernández-Martínez,

More information

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University

NanoFabrication Kingston. Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University NanoFabrication Kingston Seminar and Webinar January 31, 2017 Rob Knobel Associate Professor, Dept. of Physics Queen s University What is NFK? It s a place, an team of experts and a service. The goal of

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

RF MEMS Simulation High Isolation CPW Shunt Switches

RF MEMS Simulation High Isolation CPW Shunt Switches RF MEMS Simulation High Isolation CPW Shunt Switches Authored by: Desmond Tan James Chow Ansoft Corporation Ansoft 2003 / Global Seminars: Delivering Performance Presentation #4 What s MEMS Micro-Electro-Mechanical

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Architecture for Electrochemical Sensors

Architecture for Electrochemical Sensors 1/19 J. Pallarès 1, S. Sutula 1, J. Gonzalo-Ruiz 2, F. X. Muñoz-Pascual 2, L. Terés 1,3 and F. Serra-Graells 1,3 paco.serra@imb-cnm.csic.es 1 Institut de Microelectrònica de Barcelona, IMB-CNM(CSIC) 2

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

MEMS Processes at CMP

MEMS Processes at CMP MEMS Processes at CMP MEMS Processes Bulk Micromachining MUMPs from MEMSCAP Teledyne DALSA MIDIS Micralyne MicraGEM-Si CEA/LETI Photonic Si-310 PHMP2M 2 Bulk micromachining on CMOS Compatible with electronics

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Voltage Controlled Quartz Crystal Oscillator (VCXO) ASIC

Voltage Controlled Quartz Crystal Oscillator (VCXO) ASIC General: Voltage Controlled Quartz Oscillator (VCXO) ASIC Paulo Moreira CERN, 21/02/2003 The VCXO ASIC is a test structure designed by the CERN microelectronics group in a commercial 0.25 µm CMOS technology

More information

Novel piezoresistive e-nose sensor array cell

Novel piezoresistive e-nose sensor array cell 4M2007 Conference on Multi-Material Micro Manufacture 3-5 October 2007 Borovets Bulgaria Novel piezoresistive e-nose sensor array cell V.Stavrov a, P.Vitanov b, E.Tomerov a, E.Goranova b, G.Stavreva a

More information

Introduction to Microdevices and Microsystems

Introduction to Microdevices and Microsystems PHYS 534 (Fall 2008) Module on Microsystems & Microfabrication Lecture 1 Introduction to Microdevices and Microsystems Srikar Vengallatore, McGill University 1 Introduction to Microsystems Outline of Lecture

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Ultra-thin, highly flexible RF cables and interconnections

Ultra-thin, highly flexible RF cables and interconnections Ultra-thin, highly flexible RF cables and interconnections Hans Burkard, Hightec MC AG, Lenzburg, Switzerland Urs Brunner, Hightec MC AG, Lenzburg, Switzerland Karl Kurz, Hightec MC AG, Lenzburg, Switzerland

More information

IGBT Module Manufacturing & Failure Analysis Process. Seon Kenny (IFKOR QM IPC) Sep

IGBT Module Manufacturing & Failure Analysis Process. Seon Kenny (IFKOR QM IPC) Sep IGBT Module Manufacturing & Failure Analysis Process Seon Kenny (IFKOR QM IPC) Sep-11-2018 Table of Contents 1 2 IGBT Module manufacturing process Failure Analysis process for IGBT module 2 Table of Contents

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

PAGE 1/6 ISSUE Jul SERIES Micro-SPDT PART NUMBER R516 XXX 10X R 516 _ 1 0 _

PAGE 1/6 ISSUE Jul SERIES Micro-SPDT PART NUMBER R516 XXX 10X R 516 _ 1 0 _ PAGE 1/6 ISSUE Jul-24-2017 SERIES Micro-SPDT PART NUMBER R516 XXX 10X R516 series: the RAMSES concept merges with the SLIM LINE technology, breaking up the frequency limits of SMT switches : - FULL SMT

More information

Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies

Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies Ultra-Thin, Highly Flexible Cables and Interconnections for Low and High Frequencies Hans Burkard a, Tobias Lamprecht b, Thomas Morf b, Bert Jan Offrein b, Josef Link a a Hightec MC AG, Fabrikstrasse,

More information

High-overtone Bulk Acoustic Resonator (HBAR) as passive sensor: towards microwave wireless interrogation

High-overtone Bulk Acoustic Resonator (HBAR) as passive sensor: towards microwave wireless interrogation Nov. 21 2012 ewise () as () as J.-M Friedt 1, N. Chrétien 1, T. Baron 2, É. Lebrasseur2, G. Martin 2, S. Ballandras 1,2 1 SENSeOR, Besançon, France 2 FEMTO-ST Time & Frequency, Besançon, France Emails:

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy F. Sarioglu, M. Liu, K. Vijayraghavan, A. Gellineau, O. Solgaard E. L. Ginzton Laboratory University Tip-sample

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe Journal of Physics: Conference Series Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe To cite this article: Y H

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs

1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs Agenda 1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs Francisco Gutiérrez Enrique Martínez DARE Users Meeting, ESA /ESTEC Noordwijk NL Feb-15-2011 The REDSAT ASICs Why we selected DARE Direct Radiating

More information

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology 15-398 Introduction to Nanotechnology Nanoscale Lithography Seth Copen Goldstein Seth@cs.cmu.Edu CMU Pushing The Limits of Photolithography Reduce wavelength (λ) Use Reducing Lens Increase Numerical Aperture

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD

PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD 052 PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD Muhammad Suhaimi Sulong, Asyiatul Asyikin Jamry, Siti Maryaton Shuadah Shuib, Rahmat Sanudin, Marlia Morsin, Mohd Zainizan

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

BioInstrumentation Laboratory

BioInstrumentation Laboratory BioInstrumentation Laboratory Ian Hunter Vienna, May 22 2013 BioInstrumentation Lab, Mechanical Engineering, MIT - Robotic endoscopes - Needle-free drug delivery devices - Eye micro-surgery robots - High

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic

New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic New fabrication and packaging technologies for CMOS pixel sensors: closing gap between hybrid and monolithic Outline Short history of MAPS development at IPHC Results from TowerJazz CIS test sensor Ultra-thin

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Packaging Fault Isolation Using Lock-in Thermography

Packaging Fault Isolation Using Lock-in Thermography Packaging Fault Isolation Using Lock-in Thermography Edmund Wright 1, Tony DiBiase 2, Ted Lundquist 2, and Lawrence Wagner 3 1 Intersil Corporation; 2 DCG Systems, Inc.; 3 LWSN Consulting, Inc. Addressing

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Turn in your 0.18um NDA form by Thursday Sep 1 No

More information

Recent Technological Developments on LGAD and ilgad Detectors for Tracking and Timing Applications

Recent Technological Developments on LGAD and ilgad Detectors for Tracking and Timing Applications Recent Technological Developments on LGAD and ilgad Detectors for Tracking and Timing Applications G. Pellegrini 1, M. Baselga 1, M. Carulla 1, V. Fadeyev 2, P. Fernández-Martínez 1, M. Fernández García

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

Notes. (Subject Code: 7EC5)

Notes. (Subject Code: 7EC5) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes VLSI DESIGN NOTES (Subject Code: 7EC5) Prepared By: MANVENDRA SINGH Class: B. Tech. IV Year, VII

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information