A 90nm Variable Frequency Clock System for a Power- Managed Itanium Architecture Processor

Size: px
Start display at page:

Download "A 90nm Variable Frequency Clock System for a Power- Managed Itanium Architecture Processor"

Transcription

1 A 90nm Variable Frequency Clock System for a Power- Managed tanium Architecture Processor Tim Fischer, Ferd Anderson, Ben Patella, Sam Naffziger ntel, Fort Collins, CO

2 Presentation Overview Montecito Clock System Architecture Montecito Voltage to Frequency Converter (VFC) Architecture Digital Frequency Divider (DFD) Regional Voltage Detector (RVD) Results Summary and Acknowledgements

3 Clock System Architecture Variable Supply SLCB CVD Gater Pins Fuses Frequency Translation Table Divisors DFD RVD SLCB RAD Balanced Tree Clock Distribution Fixed Supply Bus Clock 1/1 PLL 1/M Core0 Core1 Foxton /Os Bus Logic DFD DFD DFD DFD DFD CVD Gater SLCB CVD Gater SLCB RAD CVD Gater SLCB CVD Gater 1/N 1/N Phase Aligner

4 Montecito Clock Generation Overview PLL generates master clock: Fmax = M*F bus_clock DFDs lock on Fmax using local DLLs Synthesize core / uncore frequencies in 1.6% Fmax steps (ticks) DFD range is Fmax*1.0 to Fmax*0.504 Translation table sets DFD frequency at startup Supply / Clock Domains 2 Cores : variable V, F Uncore (bus logic): fixed V, F = N * bus clock Foxton controller : fixed V, F = 1 GHZ, DSP algorithms Master PLL : fixed V, F, within clock system only

5 Montecito Clock System Floorplan RVDs PLL / translation table / clock control CORE 0 Core DFDs FSB DFDs Foxton Controller DFD Bus Logic DFD FSB DFDs CORE 1

6 Clock System Modes Fixed Frequency (FFM) Cores/Uncore are frequency and phase aligned Cores/Uncore interfaces synchronous Variable Frequency (VFM) Core supply modulated by Foxton Controller to manage power envelope Core frequencies track Vcore via Regional Voltage Detector (RVD) V-F curves Respond to Foxton modulation and local transients V-F curves match worst-scaling paths on chip Core/Uncore interfaces asynchronous

7 Voltage-to-Frequency Conversion (VFC) Per Core Foxton-managed VDD from VR di/dt noise + VDD Local Blocks RVD8 Process, Temp RVD8 local environment RVD9 ~2400um RVD10 RVD11 DOWN[11:8], HOLD[11:8] DFD2 2 Utility Clocks 4 Utility Clocks L1 Clock Route To SLCBS RVD4 RVD5 RVD6 RVD7 L0 Clock Route from PLL DOWN[7:4], HOLD[7:4] 2 RVD0 RVD1 RVD2 RVD3 8 2 DFD1 4 2 Utility Clocks L1 Clock Route To SLCBS DOWN[3:0], HOLD[3:0] 8 DFD0 4 L1 Clock Route To SLCBS

8 DFD Output Clock Example VFC Supply Droop Response Clock period increased No Adjust needed this cycle Vcore RVD Delay Line Clock Droop increases RVD delay line delay ncreased delay asserts period UP for two cycles Period UP to DFD

9 PLL CLOCK DFFERENTAL NPUT RVD UP / DOWN REQUESTS 16-PHASE DLL AND NTERPOLATON PCSM TO / FROM SAME-CORE PCSMS Digital Frequency Divider (DFD) Block Diagram 64 PHASES PEROD ADJUST +2 TO -1 STATE MACHNE ODCS CONTROL DVDE BY 2 STARTUP CONTROL DVDE BY 2 ½ FREQUENCY QUADRATURE DFFERENTAL CLOCK ROUTES TO SLCBS FULL FREQUENCY DFFERENTAL UTLTY CLOCK ROUTES TO CLOCK SYSTEM SCAN AND TRGGERS

10 DFD Phase Selection Datapath FROM PCSM FROM S/M adj0 psel0 FROM DLL rck VDD adj3 psel3 GND VDD VDD GND sel0 sel1 phs0 phs1 GND VDD sel8 sel9 phs8 phs9 pd0 sel7 phs7 GND pd1 sel15 phs15 GND VDD GND clk_15_0_out fb_terms VDD pd GND clk_31_16_out clk_47_32_out clk_63_48_out CLOCK O 4:1 PHASE ADJUST 16:1 PHASE SELECTON 4:1 PHASE OR & CLOCK DRVE

11 VFC/RVD Voltage Tracking and CMOS Critical Path Scaling Delay (norm to 1100 mv) Supply Voltage (mv) circuit1 circuit2 circuit3 circuit4 circuit5

12 RVD Block Diagram Delay Line 0A dly0in dly0out HOLD Delay Line 0B RVD FSM eval0 eval1 eval0 eval1 additional delay CVDs create deadzone dly1in Delay Line 1A dly1out DOWN clk Delay Line 1B eval0 eval1

13 RVD Delay Line in FNE R F R odd F coarse_sel Coarse Delay ncremental Curves R R COARSE F F F F F F F R R Dynamic Mux R R delay line out Fine Delay ncremental Curves R 1.60E E E E E E E E E E E-10 4 Coarse Elements 6.00E E E E E E E-10 3 Coarse Elements

14 RVD Coarse Delay Element run config_fet nrun nfet nrun fet nodd nclear VDD fbp VDD nrun VDD nfet out O in GND run GND fbn Metal 1 Serpentine Resistor nrun nout clear even GND fbp run

15 RVD Phase Comparator rck in0 VDD noh in1 out O ev0 nd0 ev1 nd1 eck GND

16 V c ore b) VFM Performance ncrease (%) Time (ps ) Supply Noise (mv) x V c ore PS D (dbv) c) Average (50 MHz Noise) Peak Time 10-1 (ps ) Frequency (GHz) VFM Performance vs. Supply Noise a) 50% Activity Power Virus

17 FFM/VFM Core/Bus Clock Oscilloscope Traces FFM, 1.2V Core clock 1.6GHz Bus Logic clock 1.6GHz VFM, 1.2V Core clock 2.14GHz Bus Logic clock 1.6GHz

18 Core Clock Spectral Content FFM Fmax=2GHz, Ffixed=1.6GHz, 1.2Vcore VFM Fmax=2GHz, 1.2 Vcore +/- 100mV, slow RVD curve

19 Summary Clock system enables a dynamic voltage-scaling power management system (Foxton) Generates low-skew fixed- and variable- frequency clocks High-BW Voltage-to-Frequency conversion (VFC) Regional Voltage Detectors Synchronized Digital Frequency Dividers VFC follows programmed V/F response VFC lock onto and tracks local supply voltage Tracks high-bw switching transients:1 cycle VFC loop response Tracks low-bw Foxton-based supply modulation Performance benefits through reduced guardband: Fast response to switching transients (3-8%, path dependent) Tracks process, temperature (3%) VFM operation demonstrated above 2GHz

20 Acknowledgements E. Fetzer, S. Ghahremani, B. Doyle, A. Barton, M. Peters, S. Hall, J. Desai, E. Lee, F. Verdico, C. Pie, C. Bendele, A. Allen, R. Alley, P. Wyatt, B. Johnson, S. Undy, G. Benjamin, R. McGowen, R. Sandoval, C. Zhu, C. Young, A. Shoning, J. McBride, K. Kerr, D. Newsome, D. Sherlock, B. Haskin, J. Platenak, A. Gouldey, V. Freytag, R. Sims, G. Kumar, J. Pettsinger, R. Weidner, P. Liu, S. Wells, D. Clifford, S. Liepe, J. gnowski, G. Ranson, P. Kummrow, C. Keen, W. Kever

Foxton Technology. HotChips Sam Naffziger Intel Corp.

Foxton Technology. HotChips Sam Naffziger Intel Corp. Foxton Technology HotChips 2005 Sam Naffziger Intel Corp. 1 The Power Problem Power consumption is a primary limiter in today s processors and unfortunately, it varies a lot Part to part (processing) As

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O Dennis Fischette, Alvin Loke, Michael Oshima, Bruce Doyle, Roland Bakalski*, Richard DeSantis, Anand Thiruvengadam, Charles Wang,

More information

ICS663 PLL BUILDING BLOCK

ICS663 PLL BUILDING BLOCK Description The ICS663 is a low cost Phase-Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled Oscillator (VCO)

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

ICS663 PLL BUILDING BLOCK. Description. Features. Block Diagram DATASHEET

ICS663 PLL BUILDING BLOCK. Description. Features. Block Diagram DATASHEET DATASHEET ICS663 Description The ICS663 is a low cost Phase-Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

Features. EXTERNAL PULLABLE CRYSTAL (external loop filter) FREQUENCY MULTIPLYING PLL 2

Features. EXTERNAL PULLABLE CRYSTAL (external loop filter) FREQUENCY MULTIPLYING PLL 2 DATASHEET 3.3 VOLT COMMUNICATIONS CLOCK VCXO PLL MK2049-34A Description The MK2049-34A is a VCXO Phased Locked Loop (PLL) based clock synthesizer that accepts multiple input frequencies. With an 8 khz

More information

IDT5V60014 LOW PHASE NOISE ZERO DELAY BUFFER. Description. Features. Block Diagram DATASHEET

IDT5V60014 LOW PHASE NOISE ZERO DELAY BUFFER. Description. Features. Block Diagram DATASHEET DATASHEET IDT5V60014 Description The IDT5V60014 is a high speed, high output drive, low phase noise Zero Delay Buffer (ZDB) which integrates IDT s proprietary analog/digital Phase Locked Loop (PLL) techniques.

More information

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz 19-3530; Rev 0; 1/05 Low-Jitter, 8kHz Reference General Description The low-cost, high-performance clock synthesizer with an 8kHz input reference clock provides six buffered LVTTL clock outputs at 35.328MHz.

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications

Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications D.C. Keezer 1, D. Minier, P. Ducharme 1- Georgia Institute of Technology, Atlanta, Georgia USA IBM, Bromont,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Low Skew CMOS PLL Clock Drivers

Low Skew CMOS PLL Clock Drivers Low Skew CMOS PLL Clock Drivers The MC88915 Clock Driver utilizes phase-locked loop technology to lock its low skew outputs' frequency and phase onto an input reference clock. It is designed to provide

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

Spread Spectrum Frequency Timing Generator

Spread Spectrum Frequency Timing Generator Spread Spectrum Frequency Timing Generator Features Maximized EMI suppression using Cypress s Spread Spectrum technology Generates a spread spectrum copy of the provided input Selectable spreading characteristics

More information

Description PCLKM SYNCLKN CLK CLKB PWRDNB. Rev 1.0, November 24, 2006 Page 1 of 11

Description PCLKM SYNCLKN CLK CLKB PWRDNB. Rev 1.0, November 24, 2006 Page 1 of 11 Direct Rambus Clock enerator Features Differential clock source for Direct Rambus memory subsystem for up to 8-MHz data transfer rate Provide synchronization flexibility: the Rambus Channel can optionally

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

Direct Rambus Clock Generator

Direct Rambus Clock Generator W34M/W34S Direct Rambus Clock enerator Features Differential clock source for Direct Rambus memory subsystem for up to 8-MHz data transfer rate Provide synchronization flexibility: the Rambus Channel can

More information

MK SPREAD SPECTRUM MULTIPLIER CLOCK. Description. Features. Block Diagram DATASHEET

MK SPREAD SPECTRUM MULTIPLIER CLOCK. Description. Features. Block Diagram DATASHEET DATASHEET MK1714-01 Description The MK1714-01 is a low cost, high performance clock synthesizer with selectable multipliers and percentages of spread spectrum designed to generate high frequency clocks

More information

MK SPREAD SPECTRUM MULTIPLIER CLOCK. Description. Features. Block Diagram DATASHEET

MK SPREAD SPECTRUM MULTIPLIER CLOCK. Description. Features. Block Diagram DATASHEET DATASHEET MK1714-02 Description The MK1714-02 is a low cost, high performance clock synthesizer with selectable multipliers and percentages of spread designed to generate high frequency clocks with low

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

ICS QUAD PLL CLOCK SYNTHESIZER. Description. Features. Block Diagram PRELIMINARY DATASHEET

ICS QUAD PLL CLOCK SYNTHESIZER. Description. Features. Block Diagram PRELIMINARY DATASHEET PRELIMINARY DATASHEET ICS348-22 Description The ICS348-22 synthesizer generates up to 9 high-quality, high-frequency clock outputs including multiple reference clocks from a low frequency crystal or clock

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Programming Z-COMM Phase Locked Loops

Programming Z-COMM Phase Locked Loops Programming Z-COMM Phase Locked Loops Nomenclature Z-COMM has three models of Phase Locked Loops available, each using either the National Semiconductor or the Analog Devices PLL synthesizer chip. PSNxxxxx:

More information

PI6CX201A. 25MHz Jitter Attenuator. Features

PI6CX201A. 25MHz Jitter Attenuator. Features Features PLL with quartz stabilized XO Optimized for MHz input/output frequency Other frequencies available Low phase jitter less than 30fs typical Free run mode ±100ppm Single ended input and outputs

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

SCG2000 Series Synchronous Clock Generators

SCG2000 Series Synchronous Clock Generators SCG2000 Series Synchronous Clock Generators PLL 2111 Comprehensive Drive Aurora, Illinois 60505 Phone: 630-851-4722 Fax: 630-851- 5040 www.conwin.com Bulletin SG035 Page 1 of 20 Revision 00 Date 23 AUG

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor Resonant Clock Design for a Power-efficient, High-volume x86-64 Microprocessor Visvesh Sathe 1, Srikanth Arekapudi 2, Alexander Ishii 3, Charles Ouyang 2, Marios Papaefthymiou 3,4, Samuel Naffziger 1 1

More information

ICS CLOCK SYNTHESIZER FOR PORTABLE SYSTEMS. Description. Features. Block Diagram PRELIMINARY DATASHEET

ICS CLOCK SYNTHESIZER FOR PORTABLE SYSTEMS. Description. Features. Block Diagram PRELIMINARY DATASHEET PRELIMINARY DATASHEET ICS1493-17 Description The ICS1493-17 is a low-power, low-jitter clock synthesizer designed to replace multiple crystals and oscillators in portable audio/video systems. The device

More information

AV9108. CPU Frequency Generator. Integrated Circuit Systems, Inc. General Description. Features. Block Diagram

AV9108. CPU Frequency Generator. Integrated Circuit Systems, Inc. General Description. Features. Block Diagram Integrated Circuit Systems, Inc. AV98 CPU Frequency Generator General Description The AV98 offers a tiny footprint solution for generating two simultaneous clocks. One clock, the REFCLK, is a fixed output

More information

R Using the Virtex Delay-Locked Loop

R Using the Virtex Delay-Locked Loop Application Note: Virtex Series XAPP132 (v2.4) December 20, 2001 Summary The Virtex FPGA series offers up to eight fully digital dedicated on-chip Delay-Locked Loop (DLL) circuits providing zero propagation

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors EE 241 Project Final Report 2013 1 Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors Jaeduk Han, Student Member, IEEE, Angie Wang,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

ICS542 CLOCK DIVIDER. Features. Description. Block Diagram DATASHEET. NOTE: EOL for non-green parts to occur on 5/13/10 per PDN U-09-01

ICS542 CLOCK DIVIDER. Features. Description. Block Diagram DATASHEET. NOTE: EOL for non-green parts to occur on 5/13/10 per PDN U-09-01 DATASHEET ICS542 Description The ICS542 is cost effective way to produce a high-quality clock output divided from a clock input. The chip accepts a clock input up to 156 MHz at 3.3 V and produces a divide

More information

Description PCLKM SYNCLKN CLK CLKB PWRDNB

Description PCLKM SYNCLKN CLK CLKB PWRDNB Direct Rambus Clock enerator Features Differential clock source for Direct Rambus memory subsystem for up to 8-MHz data transfer rate Provide synchronization flexibility: the Rambus Channel can optionally

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

60 GHz Receiver (Rx) Waveguide Module

60 GHz Receiver (Rx) Waveguide Module The PEM is a highly integrated millimeter wave receiver that covers the GHz global unlicensed spectrum allocations packaged in a standard waveguide module. Receiver architecture is a double conversion,

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology 2009 IEEE Nuclear Science Symposium, Orlando, Florida, October 28 th 2009 Jean-Francois Genat On behalf of Mircea Bogdan 1, Henry J. Frisch

More information

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc

60 GHz RX. Waveguide Receiver Module. Features. Applications. Data Sheet V60RXWG3. VubIQ, Inc GHz RX VRXWG Features Complete millimeter wave receiver WR-, UG-8/U flange Operates in the to GHz unlicensed band db noise figure Up to.8 GHz modulation bandwidth I/Q analog baseband interface Integrated

More information

ICS571 LOW PHASE NOISE ZERO DELAY BUFFER. Description. Features. Block Diagram DATASHEET

ICS571 LOW PHASE NOISE ZERO DELAY BUFFER. Description. Features. Block Diagram DATASHEET DATASHEET Description The is a high speed, high output drive, low phase noise Zero Delay Buffer (ZDB) which integrates IDT s proprietary analog/digital Phase Locked Loop (PLL) techniques. IDT introduced

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

74VHC4046 CMOS Phase Lock Loop

74VHC4046 CMOS Phase Lock Loop 74VHC4046 CMOS Phase Lock Loop General Description The 74VHC4046 is a low power phase lock loop utilizing advanced silicon-gate CMOS technology to obtain high frequency operation both in the phase comparator

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

3.3 VOLT COMMUNICATIONS CLOCK PLL MK Description. Features. Block Diagram DATASHEET

3.3 VOLT COMMUNICATIONS CLOCK PLL MK Description. Features. Block Diagram DATASHEET DATASHEET 3.3 VOLT COMMUNICATIONS CLOCK PLL MK2049-45 Description The MK2049-45 is a dual Phase-Locked Loop (PLL) device which can provide frequency synthesis and jitter attenuation. The first PLL is VCXO

More information

M2040 FREQUENCY TRANSLATION PLL WITH AUTOSWITCH

M2040 FREQUENCY TRANSLATION PLL WITH AUTOSWITCH GENERAL DESCRIPTION The is a VCSO (Voltage Controlled SAW Oscillator) based clock generator PLL designed for clock protection, frequency translation and jitter attenuation in fault tolerant computing applications.

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

Low-overhead solutions for clock generation and synchronization.

Low-overhead solutions for clock generation and synchronization. Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University Outline Presentation

More information

Incorporating Variability into Design

Incorporating Variability into Design Incorporating Variability into Design Jim Farrell, AMD Designing Robust Digital Circuits Workshop UC Berkeley 28 July 2006 Outline Motivation Hierarchy of Design tradeoffs Design Infrastructure for variability

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

Features VDD 1 CLK1. Output Divide PLL 2 OE0 GND VDD. IN Transition Detector CLK1 INB. Output Divide PLL 2 OE0 GND

Features VDD 1 CLK1. Output Divide PLL 2 OE0 GND VDD. IN Transition Detector CLK1 INB. Output Divide PLL 2 OE0 GND DATASHEET ICS58-0/0 Description The ICS58-0/0 are glitch free, Phase Locked Loop (PLL) based clock multiplexers (mux) with zero delay from input to output. They each have four low skew outputs which can

More information

IDT9170B CLOCK SYNCHRONIZER AND MULTIPLIER. Description. Features. Block Diagram DATASHEET

IDT9170B CLOCK SYNCHRONIZER AND MULTIPLIER. Description. Features. Block Diagram DATASHEET DATASHEET IDT9170B Description The IDT9170B generates an output clock which is synchronized to a given continuous input clock with zero delay (±1ns at 5 V VDD). Using IDT s proprietary phase-locked loop

More information

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration. Integrated Circuit Systems, Inc. ICS250C 3.3V Phase-Lock Loop Clock Driver General Description The ICS250C is a high performance, low skew, low jitter clock driver. It uses a phase lock loop (PLL) technology

More information

Peak Reducing EMI Solution

Peak Reducing EMI Solution Peak Reducing EMI Solution Features Cypress PREMIS family offering enerates an EMI optimized clocking signal at the output Selectable input to output frequency Single 1.% or.% down or center spread output

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics C5 - Synchronous demodulation» AM and FM demodulation» Coherent demodulation» Tone decoders AY 2015-16 19/03/2016-1

More information

CLOCK BUFFER IC WITH DYNAMIC IMPEDANCE MATCHING AND SKEW COMPENSATION. Aris Balatsos

CLOCK BUFFER IC WITH DYNAMIC IMPEDANCE MATCHING AND SKEW COMPENSATION. Aris Balatsos CLOCK BUFFER IC WITH DYNAMIC IMPEDANCE MATCHING AND SKEW COMPENSATION by Aris Balatsos A thesis submitted in conformity with the requirements for the degree of Masters of Applied Science Graduate Department

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology

12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology 12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology Firat Çelik firat.celik@epfl.ch Master Thesis 2016 Supervised by Prof. Yusuf Leblebici Tuğba Demirci Microelectronic Systems Laboratory

More information

HMC3716LP4E FREQUENCY DIVIDERS AND DETECTORS - SMT. Typical Applications. General Description. Functional Diagram

HMC3716LP4E FREQUENCY DIVIDERS AND DETECTORS - SMT. Typical Applications. General Description. Functional Diagram Typical Applications The HMC3716LPE is ideal for: Point-to-Point Radios Satellite Communication Systems Military Applications Sonet Clock Generation General Description Functional Diagram Features Ultra

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Features. Micrel Inc Fortune Drive San Jose, CA USA tel +1 (408) fax + 1 (408)

Features. Micrel Inc Fortune Drive San Jose, CA USA tel +1 (408) fax + 1 (408) 2.5V Low Jitter, Low Skew 1:12 LVDS Fanout Buffer with 2:1 Input MUX and Internal Termination General Description The is a 2.5V low jitter, low skew, 1:12 LVDS fanout buffer optimized for precision telecom

More information

OSC2 Selector Guide appears at end of data sheet. Maxim Integrated Products 1

OSC2 Selector Guide appears at end of data sheet. Maxim Integrated Products 1 9-3697; Rev 0; 4/05 3-Pin Silicon Oscillator General Description The is a silicon oscillator intended as a low-cost improvement to ceramic resonators, crystals, and crystal oscillator modules as the clock

More information

60 GHz Transmitter (Tx) Waveguide Module

60 GHz Transmitter (Tx) Waveguide Module The is a highly integrated millimeter wave transmitter that covers the 60 GHz global unlicensed spectrum allocations packaged in a standard waveguide module. Transmitter architecture is a double conversion,

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK Typical Applications The HMC440QS16G(E)

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

FIELD PROGRAMMABLE DUAL OUTPUT SS VERSACLOCK SYNTHESIZER. Features VDD PLL1 PLL2 GND

FIELD PROGRAMMABLE DUAL OUTPUT SS VERSACLOCK SYNTHESIZER. Features VDD PLL1 PLL2 GND DATASHEET ICS252 Description The ICS252 is a low cost, dual-output, field programmable clock synthesizer. The ICS252 can generate two output frequencies from 314 khz to 200 MHz using up to two independently

More information