Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors

Size: px
Start display at page:

Download "Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors"

Transcription

1 EE 241 Project Final Report Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors Jaeduk Han, Student Member, IEEE, Angie Wang, Student Member, IEEE Abstract RAVEN, a state-of-the-art multicore processor being developed by the Berkeley Wireless Research Center, utilizes switched capacitor DC-DC converters to generate dynamically scalable core voltage supplies. It is possible to enhance a core s energy efficiency at a given throughput by allowing the local power management and dedicated clock generator to adapt to the capacitor voltage ripple. Some commercial multicore processors, such as IBM s Power7, support active management of timing guardband to achieve nearly 25% power reduction without performance degradation in the face of load-induced supply droops and other noise events similar in characteristic to DC-DC ripple. While previous designs utilized bulky and/or slow-locking DLLs and PLLs for core clock synthesis, our implementation uses a fast-locking, power/area efficient injection-locked ring oscillator. Fast supply adaptation to achieve an average 2GHz core frequency (2x global clock frequency), supported by the aforementioned blocks with feedback from critical path monitors, achieves power savings justifying our scheme for systems needing to tolerate >80mV supply droops. Index Terms Critical path monitoring (CPM), DC-DC converters, dynamic frequency and voltage scaling (DFVS), injection-locked ring oscillators (ILRO), multicore processors, timing/voltage guardband T I. INTRODUCTION O minimize the power consumption of high-performance microprocessors, architects have become less reliant on frequency scaling, favoring, instead, many-core parallelism to achieve higher data throughput. However, the move to multicore does not guarantee operation at optimal power levels without performance degradation. To increase yield, previous multicore designs employed static timing/voltage guardbands to prevent timing violations under worst-case conditions. This incurred a significant power/performance overhead. Because power consumption has a square dependence on voltage, efficiency can be increased by adapting V!! to fluctuating workloads/operating conditions, transforming excess performance margin into power reduction. To accomplish this, the supply level is adjusted to maintain an average clock frequency capable of meeting performance/power needs without incurring timing errors. Even if the global processor utilizes this adaptive voltage control technique, its overall efficiency is still limited by the minimum supply voltage of the slowest core. This is unfavorable, because modern processes suffer from high variability. Therefore, it is desirable to have per-core supply and clock monitoring/control systems, so that V!! s can be individually optimized for higher efficiency, as seen in Fig. 1. Frequency-adaptive techniques for combatting the effects of voltage droops have been successfully implemented in both IBM s POWER7 servers and Intel s Montecito CPUs. In these Fig. 1. VDD-frequency curves of multicore systems (a) Single power supply with guardband (b) Local power supply for each core Fig. 2. Adapting the operating frequency as the supply changes designs, feedback systems track timing margins back to V!! variation [1][2][5]. Additionally, adaptive voltage scaling, coupled with logic performance monitoring, has been shown to improve power efficiency in TI s mobile processors [9]. The advantage of using frequency scaling is depicted in Fig. 2. Our design supports even higher energy efficiency by applying adaptive voltage scaling techniques to the unregulated DC-DC output of each core and by using low-power injection-locked ring oscillators for local clock generation. II. SUPPLY AND TIMING MARGIN TRACKING A. Voltage-to-Frequency Converter Design Considerations The resonant peaking due to the interaction of supply parasitics with variable current demands causes V!! fluctuations, as seen in Fig. 3. These fluctuations significantly shorten/lengthen critical path delays as compared to nominal values. There are various ways to measure and compensate for such supply variation either in the voltage or time domain. Previously, Intel implemented an on-die droop detector relying on a voltage comparator to continuously sense power supply noise [4]. Allowing for the quick detection of high frequency voltage droops with fine resolution would place stringent requirements on the ADC, adding to design complexity. Additionally, without some feedback mechanism, frequency-adaptive supply tracking alone cannot guarantee that there will be no timing violations. Another feedback-less technique for active frequency adaptation is implemented in Intel s Nehalem architecture [7]. In this scheme, the noisy digital V!! is used to generate the supply for the PLL s VCO. This enables the core clock frequency to adapt to first-order supply transients, but with only coarse control, as the relationship

2 EE 241 Project Final Report Fig. 3 Vdd transients due to supply parasitics + variable current load [4] between supply voltage and optimal frequency is not well defined. Further, there is overhead from the need to synchronize across different core clock domains (i.e. via a FIFO buffer), an issue that must be addressed in our implementation as well. Lastly, a gear ratio technique is used in PowerPC 970+, which allows for frequency adaptation from a selection among four frequencies generated by a PLL driving a divide-by-2! counter [6]. The benefits of this technique are minimal due to low frequency resolution. This leads to step error and long waiting periods between transitions, which wastes power and suggests the need for a better solution. B. Critical Path Monitoring Schemes for Adaptive Power Management Rather than relying on voltage sampling or feedback-less techniques, real-time critical path monitoring appears to be an optimal mechanism for adaptive frequency and voltage tuning. In addition to being generally low area, it does not create performance overhead and provides timing margin measurements every clock cycle for speedy response to supply fluctuations. This helps to actively minimize guardband for power reduction. without timing error, avoiding the costly error detection/rollback circuitry used in common techniques like Razor [2]. A critical path monitor is employed in the voltage-to-frequency feedback loop of Intel s Montecito CPU. This CPM performs cycle-by-cycle phase comparisons to track the timing margin and thus the correlated supply variation associated with worst-case delay lines over a supply range of 0.8V to 1.2V [5]. The feedback is used to adjust the frequency synthesizer output in 1.5% steps. This scheme has a fast 1.5 cycle average response due to its high bandwidth, allowing for higher operating frequencies. However, complicated state machine logic and a large phase selection multiplexor (64:1) are needed to generate clock edges from the PLL output. IBM s Power7 processors use a similar CPM scheme for active management of timing guardband, improving power reduction by nearly 25% [2]. This technique supports significant undervolting (nearly 150mV below nominal) during low-activity periods, and it is also able to protect cores from timing failures, even during large supply transients and high activity periods. To verify timing margins, each cycle, pulses are launched down worst-case delay paths and captured in the 12-bit edge detector shown in Fig. 4. The worst-case penetration corresponds to the timing margin. The CPM output is used to control the oscillator Fig. 4. Edge detector for the CPM in [2] in a fractional-n DPLL, where the synthesized frequency is increased/decreased in the presence of positive/negative margin. This allows for frequency adaptation in under 10 cycles. A slower frequency response is generated through the normal DPLL feedback loop, capable of supporting 50MHz/ms frequency changes. Additionally, a performance controller continuously monitors and adjusts the supply voltage to achieve a designated long-term average frequency. Previous CPM implementations illustrate how timing feedback can be successfully used to minimize guardband for power savings while guaranteeing timing. However, the implementations have been logically quite complex. We explore a lighter/faster scheme achievable through direct clock pulse generation from critical path edge detection, as detailed below. III. PROPOSED SOLUTION We implemented a low-power power management scheme that allows for dynamic timing and voltage guardband tuning on a per-core basis. This solution has been designed to complement the RAVEN architecture, which attempts to convert the wasted voltage headroom associated with the periodic supply ripple at the output of an unregulated switched-capacitor DC-DC converter into usable energy. The faster that the clock synthesis scheme adopted/modified from [10] is able to detect and compensate for voltage transients, the more we can reduce margins to increase efficiency and performance. This design expands on the error prevention feedback techniques used in [2][5][10] to handle supply transients, substituting an ILRO in place of bulky clock generation units to achieve low area, low power, and fast response, with the added benefit of frequency multiplication. Our implementation incorporates the blocks that are shown in Fig. 5 and described below: Fig. 5 System block diagram

3 EE 241 Project Final Report ILRO with background frequency acquisition, which consumes much less power than conventional clock generation blocks (i.e. PLL, DLL, PI, etc.,) and supports frequency multiplication 2. Combined CPM edge detector and core clock synthesizer (synchronous to ILRO outputs) that track the timing margin 3. Verilog-AMS model of the block that measures the average frequency offset between the global clock and a core clock and controls the core s supply so that the average performance is at a desired level 4. DC-DC converter model that emulates the switched-capacitor behavior of an unregulated on-chip power supply output 5. Critical path replica that mimics the characteristic of the critical path (both dynamic and static) in real processors IV. INJECTION-LOCKED RING OSCILLATOR A. Benefits of Injection-Locked Oscillators over PLLs and DLLs Table 1 shows various clock generation schemes for individual cores. Most of the previous solutions rely on PLL or DLL-based clock generators, which require quiet supplies and consume significant power. Substantial energy and area savings can be obtained by using injection-locked oscillators as local clock receivers in frequency synthesis blocks. An ILRO acts like a first-order PLL, with unconditional stability and a fast settling response [3]. Its high bandwidth helps to reject VCO noise very effectively and provides high supply rejection. Also, unlike in DLL-based clock generation, an ILRO can multiply its output frequency, reducing the power overhead of the global clock distribution network. In other words, by routing a medium frequency global clock on highly capacitive core-to-core interconnects and then multiplying up to obtain core clocks, we Ref. Fig. 6. Phase distortion diagram of injection locking Fig. 7. Timing diagram of background tracking TABLE I VARIOUS SCHEMES FOR LOCAL CLOCK GENERATION Clocking Scheme Advantages [2] Prescaler + DPLL Input jitter filtering No biasing circuits* Frequency multiplication [5] DLL + PI + Fast response Fractional div. Precise resolution [6] Integer divider Fast response Simple circuitry [11] DLL + Very fast response Clock synthesizer This work ILRO + Clock synthesizer Very fast response No biasing circuits Frequency multiplication * Assume the DCO is a true-digital version ** Prescaler degrades DCO noise rejection performance Disadvantages Bulky PLL Slow response Poor noise rejection** Analog DLL Bulky interpolators Low resolution Analog DLL Metastabilities Metastabilities Fig. 8. Conceptual diagram of proposed ILRO can minimize power loss. For this design, we generated 2GHz ILRO clocks from a 1GHz reference. B. ILRO with Background Frequency Acquisition and Alternate Injection The potential drawback of using an ILRO versus a DLL/PLL is that the free-running frequency of the ring oscillator cannot be controlled without an external feedback mechanism, resulting in asymmetry between the output clock phases, as shown in Fig. 6. Several approaches have been proposed to suppress this mismatch. In [8], a cascaded ILRO topology was used to generate evenly spaced phases by distributing phase error throughout the second ring oscillator via a multi-stage symmetrical injection. To achieve finer resolution than that attainable with a cascaded ILRO, feedback control, such as the replica feedback control scheme described in [12], is necessary. We extend the idea of feedback control and propose a simple scheme, called background frequency acquisition with alternate injection, to control the ILRO without using a replica oscillator. The validity of this alternate injection scheme can be verified by Fig. 7. If the injected clock has a 50% duty cycle, as assumed in this configuration, the ring oscillator input is aligned to the injected clock s rising edge and the phase offset is extracted at its falling edge. The free running frequency of the ring oscillator can be adjusted using the accumulated phase offset information, as in the case of a PLL. A conceptual diagram of the ILRO, composed of a digitally tunable oscillator and control block, is depicted in Fig. 8. Sixteen MPCLK phases are generated using 8 differential delay cells running off of a clean analog supply. While any high-frequency jitters by the ring oscillator are suppressed with injection, offset between the injected clock frequency and the free-running frequency of the oscillator is captured by the digital tracking loop. One of the key advantages of this scheme is that a simple D-flip flop can be used to capture the free-running frequency offset through phase mismatch detection, because there is no frequency offset between the injected and locked oscillator clock. Conventional injection fails to maintain phase uniformity across temperature, which affects the free-running frequency of the oscillator. However, as seen in Fig. 9, the phase relationship of the ILRO with external feedback is maintained across temperature. This scheme improves the locking range of the ILRO and reliability across PVT variations.

4 EE 241 Project Final Report Fig. 9. Phase mismatch simulation result V. CRITICAL PATH MONITORING AND CLOCK GENERATION Our critical path monitoring/clock generation scheme is lighter than previous implementations, because we combine the edge detector and clock pulse generation, as shown in Fig. 10. A low clock pulse, synchronous to the ILRO output, is generated upon falling edge detection at the critical path output, enabling fast frequency adaptation to supply transients. For example, Fig. 11 shows the clock generator output in response to DC-DC ripple. Because we are not relying on edge selection for clock synthesis, and because we aren t utilizing the edge detector output as an additional control signal (i.e. it is not being used to control a PLL DCO), this scheme does not require complicated control logic or the use of a large multiplexer. Because the core clock pulse is synchronous to the ILRO output, the resolution of the frequency adaptation is determined by the number of ILRO phases. To achieve the maximum resolution, the edge detection off of the 16 ILRO outputs can be performed in parallel, which heavily loads the output of the delay line. Alternatively, the ILRO outputs can be combined to produce a higher frequency clock for serial edge detection (where fewer flip flops are needed), reducing the delay line load at the expense of higher switching power. The scheme implemented utilizes a combination of series/parallel edge detection. The 16 phases of the 2GHz ILRO clock are edge-combined for a multiplication factor of 8x (to 16GHz), and two edge detectors operate in parallel on opposite phases of the 16GHz clock. Edge detection is implemented via cascaded inverting D-flip flops clocked at the same phase. The outputs of edge detectors operating in parallel are ORed to pull the synthesized core clock down temporarily upon falling edge detection. The self-resetting dynamic logic then generates a delayed reset that raises the core clock. The pulse width of the core clock is set by the reset inverter chain delay. This timing scheme is depicted in Fig. 12. The maximum operating frequency of the gates used in this design limit the functionality of the critical path monitoring/clock generation scheme. Thus, to reduce delay, TSPC flip flops are used, since we are operating at relatively high frequency. Ideally, the falling edge input to the critical path replica would launch immediately after a falling edge is detected at the replica output. However, the minimum logic delay between edge detection and generation leads to excess timing guardband, resulting in additional power consumption over the ideal case. Edge combining is performed as illustrated in Fig. 13. To achieve an 8x multiplication factor, the following logical function was implemented:!!!! Fig. 10. Critical path monitor and clock generation block diagram Fig. 11. Clock generator output waveform Fig. 12. Core clock synthesizer timing waveforms Fig. 13. Edge combiner operation! MPCLK!! MPCLK!!!! + MPCLK!!!! MPCLK!!!!!!! Various combinations of the 16 ILRO outputs can be taken to generate different phases and 2! multiplication factors (K < 4).

5 EE 241 Project Final Report Again, due to the speed of the logic gates, edge sharpness is degraded at high frequencies, and 8x multiplication of a 2GHz clock is close to the upper limit. VI. PERFORMANCE MONITORING In order to maintain the desired data throughput, the average clock frequency should be measured and controlled to remain at 2GHz. Table 2 shows possible performance tracking schemes. We chose to implement a counter-based scheme because it has the simplest structure and its low bandwidth is acceptable for our purpose. An AMS model of the performance monitoring control system was implemented for functional verification, as illustrated in Fig. 14. The performance monitor measures the frequency offset between the core and reference clocks by counting the number of core clock rising edges and comparing this number to M (the size of the accumulation window) after M reference clock cycles. The measured value is then used to generate a control signal to scale the voltage at the output of the power management block either the minimum voltage threshold of the switched capacitor DC-DC supply or the voltage at the output of a low-dropout regulator. Fig. 15 shows the performance monitor s tracking capability. An AMS model of the switched capacitor DC-DC converter is used to generate a rippled VDD waveform whose minimum voltage is determined by the control signal (VTH). The core clock frequency tracks the DC-DC voltage ripple. As can be seen, after some time, the DC-DC output settles on a VTH that achieves an average 2GHz clock frequency. TABLE II POSSIBLE PERFORMANCE MONITORING AND TRACKING SCHEMES Scheme Utilizing phase information from clock generator Counter-based frequency lock logic PLL-like phase tracking logic Advantages / Disadvantages No phase detector / Frequency offset between reference and ILRO should be absolutely zero No phase detector / Slow tracking speed Faster than counter-based / TDC or BB PFD needed Fig. 16. Power consumption with various system configurations VII. IMPLEMENTATION RESULTS By adapting the supply voltage to achieve a desired performance with minimized guardband, we expected to see an improvement in the power efficiency of each core. To verify the benefits of our design, we compared the power per core with performance tracking to the nominal case (without DFVS) under multiple supply types. Several parameters (including total capacitance, amount of voltage ripple, and so on) were set to model real situations. The results are depicted in Fig. 16. As expected, the power consumption of our system does not increase even for significant voltage droops. This is a major advantage of our system over systems without DFVS. While achieving the desired operating frequency, our clock generation scheme, combined with performance tracking logic, effectively cancels the effect of the voltage droop and reduces the voltage guardband for improved power. The per-core power consumption is higher than calculated. This is the result of finite edge tracking resolution and the intrinsic additive delay of the logic within the clock generator. These two factors increase the clock periods, resulting in unwanted timing margin that is converted to additional voltage headroom. Nevertheless, the power consumptions shown justify the use of our performance tracking scheme for systems operating under rippled supplies or needing to tolerate supply droops higher than 80mV to achieve power savings. Additionally, as seen in Fig. 17, our control system is capable of adapting the core clock to large voltage droops across a broad Fig. 14. Performance monitoring block diagram Fig. 15. Performance tracking diagram Fig. 17. Clock generator output waveform

6 EE 241 Project Final Report range of frequencies. Owing to the fast response of the clock generation scheme, recovery from high frequency supply transients occurs in less than one clock cycle. VIII. CONCLUSIONS In order to increase the power efficiency and performance of multicore processors with rippled voltage supplies in particular, RAVEN we have looked into various guardband reduction schemes (from IBM and Intel) that rely on per-core dynamic frequency and voltage scaling in conjunction with CPM feedback for added stability and better error prevention. These techniques serve as a basis for our design. However, we used a multi-phase ILRO with background frequency acquisition in place of traditional clock generation circuitry (PLLs, DLLs, etc.) for its power and area benefits and simplicity of frequency multiplication. Additionally, we combined the critical path edge detection functionality with clock pulse generation to provide a low complexity solution for fast frequency adaptation to voltage transients. A combination of parallel and serial edge detection, utilizing 16 phases of the 2GHz ILRO output, is used to demonstrate design flexibility in obtaining a sufficient accuracy for frequency adaptation. Tradeoffs between increased critical path output load (associated with parallel edge detection) and higher clock frequency (from serial edge detection) can be further explored. In particular, an edge combination scheme was used to realize the frequency multiplication (8x to 16GHz) needed for serial edge detection. Additionally, an external performance monitoring loop was implemented to control the supply to achieve an average throughput/clock frequency of 2GHz. Our implementation demonstrated fast adaptation to voltage droops, with frequency recovery occurring in under one clock cycle. Additionally, we showed that by reducing voltage guardband, the DFVS performance tracking provides sufficient power savings for designs operating under rippled supplies or needing to tolerate >80mV supply droops. However, the power consumption is higher than calculated due to the finite tracking resolution and logic delays within the clock generator creating excess timing guardband. Further optimizations may be explored to minimize this excess guardband. [8] J. Pandey et al., A Sub-100 µw MICS/ISM Band Transmitter Based on Injection-Locking and Frequency Multiplication, in IEEE J. Solid-State Circuits, [9] G. Gammie et al., SmartReflex Power and Performance Management Technologies for 90 nm, 65 nm, and 45 nm Mobile Application Processors, in Proc. IEEE, [10] R. Jevtic et al., Resilient DVFS for Many Core Processor, BWRC Summer 2012 Retreat. [11] J. Kwak et al., Cassia: A Self Adjustable Clock System, BWRC Summer 2013 Retreat. [12] Wei Deng et al., "A 0.022mm 2 970µW dual-loop injection-locked PLL with 243dB FOM using synthesizable all-digital PVT calibration circuits," Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2013 IEEE International, vol., no., pp.248,249, Feb REFERENCES [1] M. Floyd et al., Introducing the Adaptive Energy Management Features of the POWER7 Chip, in IEEE Micro, [2] C. R. Lefurgy et al., Active Management of Timing Guardband to Save Energy in POWER7, in Proceedings of International Symposium on Microarchitecture (MICRO), [3] L. Zhang et al., Injection-Locked Clocking: A Low-Power Clock Distribution Scheme for High-Performance Microprocessors, in IEEE Transactions on VLSI Systems, [4] A. Muhtaroglu et al., On-Die Droop Detector for Analog Sensing of Power Supply Noise, in IEEE J. Solid-State Circuits, [5] T. Fischer et al., A 90-nm Variable Frequency Clock System for a Power-Managed Itanium Architecture Processor, in IEEE J. Solid-State Circuits, [6] C. Lichtenau et al., PowerTune: Advanced Frequency and Power Scaling on 64b PowerPC Microprocessor, in IEEE ISSCC Dig. Tech. Papers, [7] N. Kurd et al., Next generation Intel Core Micro-Architecture (Nehalem) Clocking, in IEEE J. Solid State Circuits, 2009.

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop

An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop An All-Digital Approach to Supply Noise Cancellation in Digital Phase-Locked Loop Abstract: With increased levels of integration in modern system-on-chips, the coupling of supply noise in a phase locked

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System V Satya Deepthi 1, SnehaSuprakash 2, USBK MahaLakshmi 3 1 M.Tech student, 2 Assistant Professor, 3 Assistant

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

A Multiplying Delay-Locked Loop For A Self-Adjustable Clock Generator

A Multiplying Delay-Locked Loop For A Self-Adjustable Clock Generator A Multiplying Delay-Locked Loop For A Self-Adjustable Clock Generator Gary Choi Borivoje Nikolic, Ed. Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology

Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Study and Implementation of Phase Frequency Detector and Frequency Divider 45nm using CMOS Technology Dhaval Modi Electronics and Communication, L. D. College of Engineering, Ahmedabad, India Abstract--This

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Low-overhead solutions for clock generation and synchronization.

Low-overhead solutions for clock generation and synchronization. Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University Outline Presentation

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

Enhancement of VCO linearity and phase noise by implementing frequency locked loop Enhancement of VCO linearity and phase noise by implementing frequency locked loop Abstract This paper investigates the on-chip implementation of a frequency locked loop (FLL) over a VCO that decreases

More information

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor José Tierno 1, A. Rylyakov 1, D. Friedman 1, A. Chen 2, A. Ciesla 2, T. Diemoz 2, G. English 2, D. Hui 2,

More information

Instantaneous Loop. Ideal Phase Locked Loop. Gain ICs

Instantaneous Loop. Ideal Phase Locked Loop. Gain ICs Instantaneous Loop Ideal Phase Locked Loop Gain ICs PHASE COORDINATING An exciting breakthrough in phase tracking, phase coordinating, has been developed by Instantaneous Technologies. Instantaneous Technologies

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability

A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 637 A Flying-Adder Architecture of Frequency and Phase Synthesis With Scalability Liming Xiu, Member, IEEE,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION

ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION ENHANCING MICROPROCESSOR POWER EFFICIENCY THROUGH CLOCK-DATA COMPENSATION A Thesis Presented to The Academic Faculty by Ashwin Srinath Subramanian In Partial Fulfillment of the Requirements for the Degree

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

DYNAMIC voltage and frequency scaling (DVFS) is a

DYNAMIC voltage and frequency scaling (DVFS) is a 2368 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 51, NO. 10, OCTOBER 2016 A Self-Adjustable Clock Generator With Wide Dynamic Range in 28 nm FDSOI Jaehwa Kwak, Student Member, IEEE, andborivojenikolić,

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

ISSN:

ISSN: 507 CMOS Digital-Phase-Locked-Loop for 1 Gbit/s Clock Recovery Circuit KULDEEP THINGBAIJAM 1, CHIRAG SHARMA 2 1 Department of E&CE, Nitte Meenaskhi Institute of Technology, Yelahanka, Bangalore-560064,

More information

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS Nilesh D. Patel 1, Gunjankumar R. Modi 2, Priyesh P. Gandhi 3, Amisha P. Naik 4 1 Research Scholar, Institute of Technology, Nirma University,

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

AS INTEGRATED circuit fabrication technologies

AS INTEGRATED circuit fabrication technologies 2720 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 A 0.5-GHz to 2.5-GHz PLL With Fully Differential Supply Regulated Tuning Merrick Brownlee, Student Member, IEEE, Pavan Kumar Hanumolu,

More information