ALL-DIGITAL phase-locked loop (ADPLL) frequency

Size: px
Start display at page:

Download "ALL-DIGITAL phase-locked loop (ADPLL) frequency"

Transcription

1 578 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 A 7.1 mw, 10 GHz All Digital Frequency Synthesizer With Dynamically Reconfigured Digital Loop Filter in 90 nm CMOS Technology Song-Yu Yang, Wei-Zen Chen, and Tai-You Lu Abstract A 10 GHz all digital frequency synthesizer (ADPLL) with dynamic digital loop filter is presented. Governed by a proposed locking process monitor (LPM), the digital loop filter is automatically reconfigured during the frequency acquisition and phase tracking process. The loop bandwidth is also self-adjusted during the locking process so as to achieve fast lock and low noise simultaneously. A skew-compensated phase accumulator is proposed for high speed operation, which preserves the advantages of low power dissipation while eliminating the accumulated timing skew issue. With less than 7 s locking time, the measured rms jitter from a 9.92 GHz carrier is about 0.9 ps. The ADPLL core consumes 7.1 mw from a 1 V supply, and the digital I/O cells drains 2.7 mw from a 3.3 V supply for chip measurement. Implemented in a 90 nm CMOS technology, the core area is only mm 2. Index Terms ADPLL, phase-locked loop, phase accumulator, frequency divider, phase-frequency detector, bang-bang phase detector. I. INTRODUCTION ALL-DIGITAL phase-locked loop (ADPLL) frequency synthesizers have drawn tremendous research efforts recently as the technology paradigm shifts into the nano-meter CMOS arena [1] [6]. They circumvent several design issues that conventional charge-pump based PLLs encounter, including capacitor leakage, current mismatch, and limited dynamic range under low supply voltage. Besides, the bulky passive loop filter is replaced by more cost-effective digital filter, which can be further scaled down along with the advancements in IC technology. Additionally, the digital based design facilitates sophisticated scheme for performance optimization, and also the portability of silicon intellectual property (SIP) for technology migration. Thus, they are more attractive for SoC integration. Manuscript received April 28, 2009; revised October 30, Current version published February 24, This paper was approved by Associate Editor Jafar Savoj. This work was supported in part by the National Science Council under Contract NSC E , MediaTek Inc., and ITRI/STC. Chip fabrication was provided by CIC. S.-Y. Yang is with MediaTek Inc., Hsinchu, Taiwan ( xyz1029. ee94g@nctu.edu.tw). W.-Z. Chen is with the Department of Electronics Engineering, National Chiao-Tung University, Hsinchu 300, Taiwan ( wzchen@mail.nctu.edu. tw). T.-Y. Lu is with the Institute of Electronics, National Chiao-Tung University, Hsinchu 300, Taiwan. Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /JSSC Major design issues of the frequency synthesizer are focused on phase purity/timing jitter, reference spur, and locking time. In frequency hopping system and some system clock scenarios where the PLL is disabled during the sleeping mode, the locking speed is of special interests. On the other hand, for the portable devices, the power dissipation is also of special concern. To avoid severe trade-off among the desired properties, some auxiliary circuits are needed to monitor the locking process and dynamically adjust the loop parameters accordingly. To accelerate the locking speed, typically, the frequency acquisition process is monitored by a frequency detector [7] [9] to coarsely tune the oscillator output frequency. On the other hand, a common approach to switch loop bandwidth is by adjusting charge pump current and zero resistors in PLLs [10]. It corresponds to a gear shifting in ADPLL implementation [11]. These techniques can drastically reduce the settling time. However, as the frequency resolution of FD is usually limited, the overall locking time will be dominated by the final phase tracking process. In this design, an all digital phase-locked loop frequency synthesizer with dynamic digital loop filter is proposed [6]. Governed by a proposed locking process monitor (LPM), the digital loop filter is automatically reconfigured and the loop bandwidth is self-adjusted during the frequency acquisition and phase tracking process. The settling time in both modes is reduced significantly. The locking speed is accelerated by order of magnitude in contrast to the prior art in [8], [11]. It features less than 7 s locking time and 0.9 ps rms jitter while manifesting operating frequency up to 10 GHz. This paper is organized as follows. Section II describes the ADPLL architecture, its operation principles, and system analysis. Section III describes the detail circuit implementation, including digital controlled oscillator (DCO), phase accumulator, and the locking process monitor (LPM). The experimental results are shown in Section IV. Finally, Section V draws the conclusion. II. ADPLL ARCHITECTURE The architecture of the proposed ADPLL is illustrated in Fig. 1, which is composed of a dual-mode phase frequency detector (DPD), a PI digital loop filter composed of programmable integral and proportional paths, a locking process monitor (LPM), an LC based digital controlled oscillator (DCO), a divide-by-4 prescaler, and two phase accumulators PAC1 and PAC2. To relax the speed requirement of the phase accumulator, the PAC1 accumulates quarter of the frequency multiplication /$ IEEE

2 YANG et al.: ALL DIGITAL FREQUENCY SYNTHESIZER WITH DYNAMICALLY RECONFIGURED DIGITAL LOOP FILTER 579 Fig. 1. Dynamically reconfigured all digital phase locked loop architecture. factor. On the other hand, PAC2 follows a divide-by-4 prescaler, accumulating the prescaler output phase. The quantized phase difference between and is resolved by a subtractor, and then filtered by the digital loop filter to adjust DCO s output frequency. To accelerate the locking speed and extend locking range, the DPD is operated in the linear mode during frequency acquisition (FA), and is turned into binary mode during the phase tracking (PT) process. Both modes are moderated by the LPM. The LPM will also dynamically adjust the loop parameters according to the phase error and the status of loop filter, which will be further discussed in the following sections. A. Frequency Acquisition (FA) Mode In the initial phase of the locking process, the loop is preset in the frequency acquisition mode. Fig. 2(a) illustrates a frequency locked loop architecture [7], [9], which is mainly composed of a phase accumulator, a frequency detector, and a loop filter. The DCO output frequency is detected by counting clock edges in a reference period (Fig. 2(b)), which is derived by a phase accumulator followed by a differentiator. If is the target locking frequency, is the target number of counts in a reference period, and is the counted DCO cycles, we have and Then the difference between target frequency and current DCO output frequency can be derived as If the integrator of loop filter is moved to the input of the subtractor, the frequency locked loop becomes equivalent to the (1) (2) (3) main architecture in Fig. 1 by disabling the integral path of the loop filter. During this mode, the DPD is operated in the linear mode where the output is proportional to frequency error to accelerate frequency locking. The frequency detector output continuously adjusts the DCO output frequency. In contrast to the prior art in [7], no reset cycle is needed for frequency detection in this design. It also accelerates the locking speed. To investigate the locking behavior during FA mode, the system transfer function can be derived as [12] where can be adjusted by tuning DCO gain and the proportional path gain during frequency acquisition. The root loci analysis shown in Fig. 3(a) indicates that the must be less than 1 to maintain system stability. Fig. 3(b) shows the step response. It reveals that a larger leads to a faster locking time, but also results in larger overshooting during transition. After variation is settled within one LSB, the frequency acquisition loop is locked and LPM will launch the phase tracking mode. Due to the quantization error of the phase accumulator, the long term frequency error is limited to one adjustable frequency step which is also proportional to locking speed and accuracy. (4) (5) (6). It shows a trade off between B. Phase Tracking (PT) Mode During this mode, the integral path is then activated, and the whole system is turned into a 2nd order phase-locked loop.

3 580 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 Fig. 2. (a) Frequency locked loop architecture and (b) frequency counter. Fig. 3. (a) Root loci of frequency locked loop and (b) step response. Meanwhile, PAC1 and PAC2 are reset, while the content of the integrator in the loop filter is preset to the current DCO control code. Afterwards, the DPD is switched to the binary phase detection mode without resorting to sophisticated time to digital converter, leading the loop to become a bang-bang PLL. By employing the binary PD, the dynamic behavior of the ADPLL resembles a 2nd order modulator [13]. Fig. 4 shows the phase trace of the bang-bang PLL during locking process [14]. Here the x axis shows the un-quantized phase error, and the y axis illustrates the output of integral path of loop filter. Due to the non-linear phase detection, the bang-bang loop cannot lock onto a fix point on the phase plane. Instead, the condition of loop stability is referred to whether the phase error can settle within a bounded orbit. The constraint for stability can be derived as [14] (7) Fig. 4. Phase trace of bang-bang PLL. where is the loop delay normalized to a reference period. The phase noise performance of the bang-bang PLL is further investigated by linearizing PD gain using Markov chain approximation [15], as is shown in Fig. 5. For the solid and dot lines that have the same integral path gain, a larger will broaden the

4 YANG et al.: ALL DIGITAL FREQUENCY SYNTHESIZER WITH DYNAMICALLY RECONFIGURED DIGITAL LOOP FILTER 581 Fig. 5. (a) Phase noise performance and (b) output RMS jitter versus loop parameters. loop bandwidth and speed up the locking process. But it may result in larger steady state jitter if the bang-bang jitter becomes a dominant noise source. On the contrary, for the dot and dash lines that have the same, increasing will degrade the phase margin and lead to jitter peaking, though it can also accelerate the locking speed. The power spectrum density of phase noise performance under different loop parameters reflects in the integral timing jitter. Fig. 5(b) summarizes the jitter contours. For a constant, it can be seen that a larger will degrade timing jitter due to jitter peaking. On the other hand, for a constant, the timing jitter can be improved by increasing the loop bandwidth to a certain extent. However, this situation will be inverted if the in-band quantization noise of the bang-bang PD dominates the overall jitter performance. Thus, a severe trade-off between locking speed and jitter optimization exits without dynamic digital loop filter. Fig. 5(b) also reveals that, for a target rms jitter of less than one pico-second, a DCO gain of less than 1-kHz per LSB is required (minimum ). It imposes another design challenge for both high frequency and low noise design. III. CIRCUIT IMPLEMENTATION A. Locking Process Monitor (LPM) To overcome the trade-off between locking speed and jitter performance, the and are dynamically adjusted during locking process, and is moderated by a proposed locking process monitor (LPM). According to the modulation analogy in the bang-bang PLL behavior [13], the variation of quantized phase error will become bounded in the steady state. The mean value of, represents the locking frequency of the PLL. Thus, the proximity of frequency lock can be detected by monitoring the ripple superimposed on, which will diminish as the loop approaching the locking state. Based on this principle, the LPM is realized as shown in Fig. 6(a). The LPM senses the quantized phase error and the integral path output, so as to adjust, and the operation mode accordingly. It is composed of an operation mode controller, peak/bottom hold detectors, a gradient polarity detector (GPD), registers, and decision logic for adjusting and. The PLL is initialized as a frequency acquisition loop when the frequency switching command is issued. On the other hand, it is switched to the phase tracking mode as the variation of phase error falls within one LSB. According to the timing diagram in Fig. 6(b), the peak and bottom values of occur when the polarity of is inverted (i.e., the slop of changes its polarity), and is detected by the GPD. Meanwhile, the GPD generates pulses to extract the peak/bottom value. The local maximum and minimum of can then be updated by the peak/bottom hold detector, which is toggled by. To rapidly determine its locking status, is estimated by averaging its successive peak and bottom values of and the consecutive are then stored and compared through registers and decision circuit. The criterion of approaching lock can be expressed as where is the locking window and denotes the time interval between two adjacent peak/bottom values. As settles within consecutive samples, the loop parameters, and are then reduced gradually towards its optimum value in the steady state under the constraint of loop stability, making the loop bandwidth being dynamically adjusted from a wide band mode to a narrow band mode. By adopting this control scheme, it accelerates locking speed without cycle slip while keeping low reference spur and timing jitter in the locked state. Fig. 7 shows the simulation result of the locking behavior with and without the aid of LPM. For the same steady state loop bandwidth, the simulated locking time with the proposed scheme is about 5 s, while that without LPM is about 50 s. In other words, it improves the locking speed by about 10 times. B. Phase Accumulator In the ADPLL, the phase accumulator PAC2 is typically implemented as a synchronous counter and thus consumes significant power. Contrarily, an asynchronous accumulator can reduce power though. The accumulated timing skew due to flip-flop clock to data output delay can exceed one input clock period. Thus, the achievable multiplication factor is severely limited especially with high input frequency. To overcome this problem, a novel skew-compensated asynchronous (8) (9)

5 582 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 Fig. 6. (a) Locking process monitor architecture and (b) timing diagram. Fig. 7. Comparison of locking behaviors with and without the aid of LPM. phase accumulator is proposed. It preserves the advantages of low power dissipation while eliminating the accumulated timing skew issue. Fig. 8(a) shows the detailed block diagram, which is constructed by an asynchronous counter, resampling registers, and sampling phase generator. When comes in to fetch the contents in PAC2, the sampling phase for the 1st stage of the asynchronous counter is generated by resampling through 2 D flip-flops to avoid metastability. To circumvent the skew problem caused by clock to data output delay, instead of sampling all outputs of the counter at the same time, a series of sampling phases are generated to capture the contents of the counter. Fig. 8(b) illustrates the timing diagram of the critical case when the contents of the asynchronous counter change from to. In this case the overflow signal propagates from the first stage to the last stage, leading to a maximum timing skew. In the sampling phase generator, is then postponed by D-latches that are toggled by the falling edge of the 1st 3rd stage divider outputs,to generate the sampling phases. Thus, a minimum setup time of can be guaranteed when retrieving the contents of the ripple counter. The stages 4 to 8 are all resampled by since a setup time of is sufficient for flip-flop operating at and below. The PAC2 output is then stored in as. By compensating for accumulated timing skew, the uncertainty in phase detection by band-bang detector is limited to one clock cycle. It also prevents the detectable frequency resolution bounded by (6) from getting worse. C. Digitally Controlled Oscillator (DCO) Fig. 9 illustrates the DCO schematic, which is based on LC-tank oscillator architecture. The output frequency is varied by digitally tuning the varactor bank. A differential planar inductor of 1.2 nh is adopted. The quality factor of the inductor is about 9 at 10 GHz. The varactor is based on N-channel inversion mode device, and its simulated Q at 10 GHz is about

6 YANG et al.: ALL DIGITAL FREQUENCY SYNTHESIZER WITH DYNAMICALLY RECONFIGURED DIGITAL LOOP FILTER 583 Fig. 8. (a) Skew compensated phase accumulator and (b) timing diagram. Fig. 9. DCO architecture. 25. The DCO core circuit is biased by a pmos current source with on-chip regulator to minimize flicker noise and improve PSRR. As are discussed in the previous sections, to achieve subpico second RMS jitter, the DCO resolution must be better than 1-kHz per LSB. To reduce chip area of the varactor bank, the frequency tuning is accomplished by a 7 bits coarse tuning bank, followed by a 10 bits fine tuning bank to ensure linearity. The frequency resolution is further enhanced to 250 Hz per LSB by employing high speed dithering through an 8-bit second order modulator. IV. EXPERIMENTAL RESULTS The experimental prototype has been fabricated in a 90 nm CMOS process. Fig. 10 shows the chip micrograph. The chip size is mm, which is mainly occupied by the LC resonator and the digital IO cells. The core area is only mm. The ADPLL core consumes 7.1 mw from a 1 V supply, among

7 584 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 Fig. 11. Phase noise performance. Fig. 10. ADPLL chip micrograph. which the phase accumulator consumes 0.97 mw, the prescaler consumes 1.33 mw, the DCO consumes 3.9 mw, and logic circuitries dissipate 0.9 mw. Additionally, the digital I/O cells drain 2.7 mw from a 3.3 V supply for chip measurement. The phase noise and PLL output spectrum are both measured using Agilent E4448A spectrum analyzer. With 40 MHz refer, ence frequency and a multiplication factor of 248 the measured phase noise form the 9.92 GHz carrier is shown in dbc/hz. Fig. 11. The phase noise at 1 MHz offset is about By frequency domain measurement, the integrated timing jitter from 50 khz to 80 MHz is about 1.1 ps, and is about 1.13 ps when the integrated bandwidth is extended to 1 GHz. The timing jitter is mainly dominated by the in-band noise. For a bang-bang PLL loop with a loop delay of clock cycle, and proportional path gain and the ratio between integral be, the limit cycle oscillation frequency can be derived as [14] Fig. 12. Output spectrum. (10) where is the integer number that satisfies the following constraint: (11) In this design,, and in the steady state. By substituting the design parameters into (10) and (11), the DCO output frequency is modulated in a rectangular wavemhz due to bang-bang operation, whose form at is about. peak to peak frequency deviation can By Fourier series expansion, cyclic tones at. be seen around the DCO output frequency Fig. 12 shows the measured output spectrum from a 9.92 GHz carrier. Spurious tones at 10 MHz and 30 MHz offset are respecdb and db below the main carrier. The reference tively db below the main carrier. spur at 40 MHz offset is about For wireless communication applications, spurious tones should Fig. 13. Measured time domain waveform. be suppressed to avoid reciprocal mixing issues, which can be. achieved by adjusting to decrease The time domain performance is characterized using Tektronix DPO71254 oscilloscope. Fig. 13 shows the time domain waveform. The measured rms jitter is about 0.9 ps, including random jitter, periodic jitter, and the trigger jitter of the instrument for about 100 fs (rms). The jitter measured in time domain is quite close to that characterized in the frequency domain, and

8 YANG et al.: ALL DIGITAL FREQUENCY SYNTHESIZER WITH DYNAMICALLY RECONFIGURED DIGITAL LOOP FILTER 585 TABLE I PERFORMANCE BENCHMARK Fig. 14. (a) Measured locking time and (b) the zoomed-in locking behavior. the timing jitter deteriorated by the spurious tones is negligible compared to its random jitter. For locking behavior characterization, the divide-by-8 output is measured to comply with the input bandwidth of the modulation domain analyzer (Agilent 53310A). When the output frequency hops form 9.92 GHz to GHz, the measured settling time within 20 ppm accuracy is about 6.89 s, as is shown in Fig. 14(a). Fig. 14(b) shows the zoom-in version of the locking characteristic. Table I summarizes the ADPLL performance and benchmark with the state of the art in the literature. The proposed architecture manifests the highest output frequency, fastest locking time, highest multiplication factor, and also highest power efficiency in terms of GHz/mW. V. CONCLUSION This paper presents a 10 GHz all-digital frequency synthesizer with less than 1 ps rms jitter and less than 7 s locking time. The ADPLL manifests fast locking speed as well as low jitter performance by the dual mode phase and frequency detection scheme and dynamically reconfiguring the digital loop filter, which is governed by the proposed LPM engine. Also, the output jitter is comparable to most analog PLLs without resort

9 586 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 3, MARCH 2010 to sophisticated TDC. Finally, a novel skew-compensated asynchronous phase accumulator is proposed. Operating as an asynchronous counter, it preserves the advantages of power scaling while capable of high speed operation and providing high division ratio. REFERENCES [1] R. B. Staszewski, J. L. Wallberg, S. Rezeq, C.-M. Hung, O. E. Eliezer, S. K. Vemulapalli, C. Fernando, K. Maggio, R. Staszewski, N. Barton, M.-C. Lee, P. Cruise, M. Entezari, K. Muhammad, and D. Leipold, All-digital PLL and transmitter for mobile phones, IEEE J. Solid- State Circuits, vol. 40, no. 12, pp , Dec [2] N. Da Dalt, E. Thaller, P. Gregorius, and L. Gazsi, A compact triple-band low-jitter digital LC PLL with programmable coil in 130-nm CMOS, IEEE J. Solid-State Circuits, vol. 40, no. 7, pp , Jul [3] J. A. Tierno, A. V. Rylyakov, and D. J. Friedman, A wide power supply range, wide tuning range, all static CMOS all digital PLL in 65 nm SOI, IEEE J. Solid-State Circuits, vol. 43, no. 1, pp , Jan [4] C.-M. Hsu, M. Z. Straayer, and M. H. Perrott, A low-noise, wide-bw 3.6 GHz digital 16 fractional-n frequency synthesizer with a noiseshaping time-to-digital converter and quantization noise cancellation, in IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp [5] H.-H. Chang, P.-Y. Wang, J.-H. C. Zhan, and B.-Y. Hsieh, A fractional spur-free ADPLL with loop-gain calibration and phase-noise cancellation for GSM/GPRS/EDGE, in IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp [6] S.-Y. Yang and W.-Z. Chen, A 7.1 mw 10 GHz all digital frequency synthesizer with dynamically reconfigurable digital loop filter in 90 nm CMOS, in IEEE ISSCC Dig. Tech. Papers, Feb. 2009, pp [7] I. Hwang, S. Song, and S. Kim, A digitally controlled phase-locked loop with a digital phase-frequency detector for fast acquisition, IEEE J. Solid-State Circuits, vol. 36, pp , Oct [8] H.-I. Lee et al., A6 0 1 fractional-n frequency synthesizer using a wideband integrated VCO and a fast AFC technique for GSM/GPRS/ WCDMA applications, IEEE J. Solid-State Circuits, vol. 39, no. 7, pp , Jul [9] W. B. Wilson et al., A CMOS self-calibrating frequency synthesizer, IEEE J. Solid-State Circuits, vol. 35, no. 10, pp , Oct [10] M. Keavevey et al., A10sfast switching PLL synthesizer for a GSM/EDGE base station, in IEEE ISSCC Dig. Tech. Papers, Feb. 2005, pp [11] R. B. Staszewski and P. T. Balsara, All-digital PLL with ultra fast settling, IEEE Trans. Circuits Syst. II, vol. 54, no. 2, pp , Feb [12] A. V. Oppenheim, R. W. Schafer, and J. R. Buck, Discrete-Time Signal Processing, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, [13] R. Walker, Designing bang-bang PLLs for clock and data recovery in serial data transmission systems, in Phase-Locking in High Performance Systems. New York: IEEE Press, 2003, pp [14] N. Da Dalt, A design-oriented study of the nonlinear dynamics of digital bang-bang PLLs, IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 52, pp , [15] N. Da Dalt, Markov chains-based derivation of the phase detector gain in bang-bang PLLs, IEEE Trans. Circuits Syst. II, vol. 53, pp , Song-Yu Yang was born in Chiayi, Taiwan, in He received the B.S. and M.S. degrees in electronics engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 2005 and 2008, respectively. He is currently with MediaTek Inc., Hsinchu, Taiwan. His research interests focus on integrated circuit designs for high-speed communication systems. Mr. Yang is a member of Phi Tau Phi honorary scholar society. Wei-Zen Chen received the B.S., M.S., and Ph.D. degrees in electronics engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 1992, 1994, and 1999, respectively. From 1999 to 2002, he was with the Department of Electrical Engineering, National Central University, Chung-Li, Taiwan. Since 2002, he joined the Department of Electronics Engineering, National Chiao-Tung University, where he is now a Full Professor. He is the Deputy Executive Director of the National SoC Program in Taiwan, and also serves as IEEE Solid-State Circuit Society Taipei Chapter Chair starting from Dr. Chen s research focuses on mixed-signal integrated circuit for wireless and wireline communication systems. He is a member of Phi Tau Phi honorary scholar society and technical program committee member of the IEEE Custom Integrated Circuits Conference (CICC) and IEEE Asian Solid-State Circuits Conference (A-SSCC). Tai-You Lu was born in Taipei, Taiwan, in He received the B.S. degree in electrical engineering from National Cheng-Kung University, Taiwan, in He is currently pursuing the Ph.D. degree in the Institute of Electronics, National Chiao-Tung University, Hsinchu, Taiwan. His research focuses on the design of radio frequency integrated circuits for wireless communications. He is a member of Phi-Tau-Phi honorary scholar society.

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller

A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller Guangming Yu, Yu Wang, Huazhong Yang and Hui Wang Department of Electrical Engineering Tsinghua National

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

RECENT advances in integrated circuit (IC) technology

RECENT advances in integrated circuit (IC) technology IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 3, MARCH 2007 247 A Design Procedure for All-Digital Phase-Locked Loops Based on a Charge-Pump Phase-Locked-Loop Analogy Volodymyr

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

High Performance Digital Fractional-N Frequency Synthesizers

High Performance Digital Fractional-N Frequency Synthesizers High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Why Are Digital Phase-Locked Loops Interesting? PLLs

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology LETTER IEICE Electronics Express, Vol.13, No.17, 1 10 A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology Ching-Che Chung a) and Chi-Kuang Lo Department of Computer Science & Information

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2 A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-Digital PLL Systems Samira Jafarzade 1, Abumoslem Jannesari 2 Received: 2014/7/5 Accepted: 2015/3/1 Abstract In this paper, a new high

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16 320 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 2, FEBRUARY 2009 A 5-GHz CMOS Frequency Synthesizer With an Injection-Locked Frequency Divider and Differential Switched Capacitors

More information

Design and Analysis of a Portable High-Speed Clock Generator

Design and Analysis of a Portable High-Speed Clock Generator IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 4, APRIL 2001 367 Design and Analysis of a Portable High-Speed Clock Generator Terng-Yin Hsu, Chung-Cheng

More information

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter High Performance Digital Fractional-N Frequency Synthesizers IEEE Distinguished Lecture Lehigh Valley SSCS Chapter Michael H. Perrott October 2013 Copyright 2013 by Michael H. Perrott All rights reserved.

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

THE UWB system utilizes the unlicensed GHz

THE UWB system utilizes the unlicensed GHz IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 1245 The Design and Analysis of a DLL-Based Frequency Synthesizer for UWB Application Tai-Cheng Lee, Member, IEEE, and Keng-Jan Hsiao Abstract

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

WHEN A CMOS technology approaches to a nanometer

WHEN A CMOS technology approaches to a nanometer 250 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 2, FEBRUARY 2013 A Wide-Range PLL Using Self-Healing Prescaler/VCO in 65-nm CMOS I-Ting Lee, Yun-Ta Tsai, and Shen-Iuan

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

HIGH resolution time-to-digital converters (TDCs)

HIGH resolution time-to-digital converters (TDCs) 3064 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 12, DECEMBER 2010 A 14.6 ps Resolution, 50 ns Input-Range Cyclic Time-to-Digital Converter Using Fractional Difference Conversion

More information

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator ISSCC 00, Session 3. M.H. Perrott, S. Pamarti, E. Hoffman, F.S. Lee, S.

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc. A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1 Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL

More information

DOUBLE DATA RATE (DDR) technology is one solution

DOUBLE DATA RATE (DDR) technology is one solution 54 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 6, JUNE 203 All-Digital Fast-Locking Pulsewidth-Control Circuit With Programmable Duty Cycle Jun-Ren Su, Te-Wen Liao, Student

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

AS THE DATA rate demanded by multimedia system

AS THE DATA rate demanded by multimedia system 424 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 7, JULY 2012 An All-Digital Large-N Audio Frequency Synthesizer for HDMI Applications Ching-Che Chung, Member, IEEE, Duo Sheng,

More information

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

IN radio-frequency wireless transceivers, frequency synthesizers

IN radio-frequency wireless transceivers, frequency synthesizers 784 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 6, JUNE 1999 A 2-V, 1.8-GHz BJT Phase-Locked Loop Wei-Zen Chen and Jieh-Tsorng Wu, Member, IEEE Abstract This paper describes the design of a bipolar

More information

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Master s Thesis Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Ji Wang Department of Electrical and Information Technology,

More information

WITH the rapid proliferation of numerous multimedia

WITH the rapid proliferation of numerous multimedia 548 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 CMOS Wideband Amplifiers Using Multiple Inductive-Series Peaking Technique Chia-Hsin Wu, Student Member, IEEE, Chih-Hun Lee, Wei-Sheng

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

Fabricate a 2.4-GHz fractional-n synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer University of Malaya From the SelectedWorks of Professor Mahmoud Moghavvemi Summer June, 2013 Fabricate a 2.4-GHz fractional-n synthesizer H Ameri Mahmoud Moghavvemi, University of Malaya a Attaran Available

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

PHASE-LOCKED loops (PLLs) are important building

PHASE-LOCKED loops (PLLs) are important building 340 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 2, FEBRUARY 2007 An Agile VCO Frequency Calibration Technique for a 10-GHz CMOS PLL Tsung-Hsien Lin, Member, IEEE, and Yu-Jen Lai Abstract This paper

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor José Tierno 1, A. Rylyakov 1, D. Friedman 1, A. Chen 2, A. Ciesla 2, T. Diemoz 2, G. English 2, D. Hui 2,

More information

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System

An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System An Fpga Implementation Of N/N+1 Prescaler For A Low Power Single Phase Clock Distribution System V Satya Deepthi 1, SnehaSuprakash 2, USBK MahaLakshmi 3 1 M.Tech student, 2 Assistant Professor, 3 Assistant

More information

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter 297 PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter Toru NAKURA a) and Kunihiro ASADA, Members SUMMARY This paper demonstrates a pulse width controlled

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

Phase-Locked Loop Engineering Handbook for Integrated Circuits

Phase-Locked Loop Engineering Handbook for Integrated Circuits Phase-Locked Loop Engineering Handbook for Integrated Circuits Stanley Goldman ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xiii xxi CHAPTER 1 Cetting Started with PLLs 1 1.1

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

Enhancement of VCO linearity and phase noise by implementing frequency locked loop Enhancement of VCO linearity and phase noise by implementing frequency locked loop Abstract This paper investigates the on-chip implementation of a frequency locked loop (FLL) over a VCO that decreases

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation

Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation Journal of Electrical and Computer Engineering Volume 20, Article ID 235843, 9 pages doi:0.55/20/235843 Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation Ni Xu, Woogeun Rhee,

More information

Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S0 and S1 Lamb-wave Modes

Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S0 and S1 Lamb-wave Modes From the SelectedWorks of Chengjie Zuo January, 11 Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S and S1 Lamb-wave Modes

More information

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator Tayebeh Ghanavati Nejad 1 and Ebrahim Farshidi 2 1,2 Electrical Department, Faculty of Engineering, Shahid Chamran University

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution

An Ultra-Low-Power 15-bit Digitally Controlled Oscillator with High Resolution Journal of Emerging Trends in Engineering and Applied Sciences (JETEAS) 2 (2): 323-328 Scholarlink Research Institute Journals, 2011 (ISSN: 2141-7016) jeteas.scholarlinkresearch.org Journal of Emerging

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

WITH the explosive growth of the wireless communications

WITH the explosive growth of the wireless communications IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 3, MARCH 2005 159 Phase-Domain All-Digital Phase-Locked Loop Robert Bogdan Staszewski and Poras T. Balsara Abstract A fully digital

More information

ATIME-INTERLEAVED analog-to-digital converter

ATIME-INTERLEAVED analog-to-digital converter IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 4, APRIL 2006 299 A Background Timing-Skew Calibration Technique for Time-Interleaved Analog-to-Digital Converters Chung-Yi Wang,

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range Nasser Erfani Majd, Mojtaba Lotfizad Abstract In this paper, an ultra low power and low jitter 12bit CMOS digitally

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3 ISSCC 2003 / SESSION 10 / HIGH SPEE BUILING BLOCKS / PAPER 10.3 10.3 A 2.5 to 10GHz Clock Multiplier Unit with 0.22ps RMS Jitter in a 0.18µm CMOS Technology Remco C.H. van de Beek 1, Cicero S. Vaucher

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

THE phase-locked loop (PLL) is a very popular circuit component

THE phase-locked loop (PLL) is a very popular circuit component IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 941 A Background Optimization Method for PLL by Measuring Phase Jitter Performance Shiro Dosho, Member, IEEE, Naoshi Yanagisawa, and Akira

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information