A Low-Power Low-Complexity Transmitter for FM-UWB Systems

Size: px
Start display at page:

Download "A Low-Power Low-Complexity Transmitter for FM-UWB Systems"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) ISSN(Online) A Low-Power Low-Complexity Transmitter for FM-UWB Systems Bo Zhou 1 and Jingchao Wang 2 Abstract A frequency modulated ultra-wideband (FM-UWB) transmitter with a high-robust relaxation oscillator for subcarrier generation and a dual-path Ring VCO for RF FM is proposed, featuring low power and low complexity. A prototype GHz FM-UWB transceiver employing the presented transmitter is fabricated in 0.18 μm CMOS for shortrange wireless data transmission. Experimental results show a bit error rate (BER) of 10 6 at a data rate of 12.5 kb/s with a communication distance of 60 cm is achieved and the power dissipation of 4.3 mw for the proposed transmitter is observed from a 1.8 V supply. Index Terms FM-UWB, transceiver, transmitter, relaxation oscillator, ring VCO, low power I. INTRODUCTION Ultra-wideband (UWB) transceivers have shown promising features for short-range and low-data-rate wireless data transmissions due to high power efficiency, good coexistence, high range resolution, low radiated power, high penetration capability, low hardware complexity and good interference resilience [1-4]. Especially, low electromagnetic radiation less than 41.3dBm/MHz is safe for human tissue exposure and suitable for hospital and home applications. Hence, the UWB technology has been considered a good candidate for wireless personal area network (WPAN) and wireless body area network (WBAN) applications [3, 4]. The frequency modulated UWB (FM-UWB) system generates a constant-envelope UWB signal with wideband frequency modulation (FM), featuring a flat inband power spectral density (PSD) and a steep spectral roll off to enable a well-defined RF bandwidth [4-14]. Fig. 1 shows the block diagram of conventional FM- UWB transceivers. The FM-UWB transmitter employs a dual frequency modulation technique: FSK-modulated triangular subcarrier generation where triangular frequency f 1 represents data 0 and f 2 represents data 1; followed by RF FM for UWB signal generation by using an RF voltage-controlled oscillator (VCO) with carrier frequency f C calibrated. Accordingly, the FM-UWB receiver employs a dual frequency demodulation method: the UWB FM signal is demodulated by a delaymultiplication technique to recover the triangular subcarrier; followed by a down-conversion based subcarrier processor (SCP) and a digital FSK Manuscript received Sep. 14, 2014; accepted Jan. 13, School of Information and Electronics, Beijing Institute of Technology 2 Institute of China Electronic Equipment System Engineering Company zhoubo07@bit.edu.cn Fig. 1. Block diagram of conventional FM-UWB transceivers.

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, demodulator to reconstruct the transmitted data. The FM- UWB receiver can perform FM demodulation without a local oscillator (LO) or carrier synchronization, which makes the system simplified [4-6]. Besides, the antenna design of the FM-UWB system is simple because of a well-controlled RF spectrum and avoiding a high peakto-average transmit power ratio [7]. The existing transceiver prototypes [4, 5] with limited subcarrier modulation index require a four-phase SCP, which complicates the system design and has poor reconfiguration. The existing regenerative receiver [6] and low-complexity transmitter [7] achieve low power consumption but tend to be sensitive to circuit parameter variation or are considered not as robust as the conventional FM-UWB system. The existing receiver [8] with dual band-pass filters (BPFs) is difficult to make the BPF center frequency accurately tuned under wide frequency ranges. The existing transmitter [9] with low power dissipation employs a multi-phase phase-locked loop (PLL) along with a pre-tuned relaxation VCO for subcarrier generation, which increases the hardware cost. The existing transmitters [10, 11] based on direct-digital frequency synthesis (DDFS) encounter limited data rate (DR) or subcarrier frequency deviation (f 2 -f 1 ). The existing reconfigurable transmitter [12] aiming for high DR application encounters large subcarrier spurs. The existing transceiver implementation [13] with gated power control is not considered for external wireless communication applications due to lack of RF front-ends. The existing reconfigurable transceiver [14] with simplified receiver design still has high power dissipation due to lack of optimization on the transmitter. In this paper, we present an FM-UWB transceiver system to verify proposed low-power low-complexity transmitter architecture, which employs a high-robust relaxation oscillator with embedded frequency-synthesis (FS) function for subcarrier generation. This is quite different from the existing works. The paper is organized as follows: Section II proposes the FM-UWB transmitter architecture and presents the FM-UWB transceiver configuration. Section III describes detailed design implementations of the transceiver system. In Section IV, experimental results are shown, followed by conclusions in Section V. II. ARCHITECTURE Fig. 2 shows the proposed FM-UWB transmitter architecture and presented FM-UWB transceiver configuration. The transmitter conducts dual frequency modulation: FSK-modulated triangular subcarrier generation based on an FS-embedded high-robust relaxation oscillator where triangular frequency f 1 representing data 0 and f 2 representing data 1 ; followed by RF FM where the triangular amplitude converted to the UWB FM signal by using a dual-path full-differential Ring VCO with f C calibrated by a quasi-continuous buffer-absent frequencylocked loop (FLL). The receiver, consisting of an analog phase-interpolator delay line based FM demodulator, an anti-aliasing filter (AAF), a single-phase SCP and a digital FSK demodulator, conducts dual frequency demodulation: RF FM demodulation followed by low-frequency FSK demodulation. The presented transceiver has following main features: 1) an FS-embedded high-robust relaxation oscillator for subcarrier generation; 2) a dual-path low-power Ring VCO for RF FM; 3) a quasi-continuous buffer-absent FLL for carrier-frequency (CF) calibration; 4) a phaseinterpolation delay line for FM demodulator; 5) a lowcomplexity single-phase SCP due to high subcarrier modulation index. Detailed explanation of the receiver with a phaseinterpolation based FM demodulator and a lowcomplexity single-phase SCP, and clarification of the RF front-ends including output amplifier (OA), preamplifier and UWB antenna, can be referred in [13] and [14], respectively. Both the receiver and RF front-ends are Fig. 2. Proposed FM-UWB transmitter and transceiver.

3 196 BO ZHOU et al : A LOW-POWER LOW-COMPLEXITY TRANSMITTER FOR FM-UWB SYSTEMS presented to verify the transmitter. The on-chip pseudo random bit source (PRBS) with a bit length of provides the transmitted data under DR of 12.5 kb/s. This work focuses on the proposed transmitter architecture, which is different from the existing transmitter configurations [4, 5, 7, 9-14]. From similarity degree, this work is close to [9]. Both have sameness and differences. On the one hand, both employ low-power Ring VCO replace of high-power LC VCO for RF FM and use a quasi-continuous FLL for f C calibration, considering the phase noise requirement of the RF VCO is not stringent for FM-UWB systems. On the other hand, both are fully different in subcarrier generation. In [9], a fast-settling multi-phase PLL along with a pre-tuned relaxation VCO is employed to generate triangular subcarrier. However in this work, a high-robust relaxation oscillator, with switched-capacitor (SC) array and SC-based voltage-to-current (V-to-I) conversion under two-phase non-overlapping clock, has embedded FS feature and thus is used for subcarrier generation. As a result, the proposed transmitter features low power and low complexity when compared to the existing works. III. IMPLEMENTATION 1. Proposed FM-UWB Transmitter Fig. 3 shows the proposed subcarrier generation based on a high-robust relaxation oscillator with embedded FS function. The SC array (C or C+ΔC) is charged and discharged by the current mirrors M11-14 and the switches M1-2, all these together with M4-5 form the oscillation cell. The replica cell comprised of M3, M6, M10, M15 and an operational amplifier Y2, controls the effective load resistances of M4-5 to have the constant voltage swing between 0 and V SW at nodes A and B. This inversely sets the fixed voltage swing between V T + and V SW +V T at nodes X and Y. Here V T and are the threshold voltage and the minimum saturation voltage of M1-2, respectively. Y1 and Y2 employ fold-cascode architecture with capacitors C C1-2 for frequency compensation within respective negative-feedback loops. Strict match design between the oscillation and replica cells is required and the operation principle could be referenced in [15]. The charge-discharge current is generated by a V-to-I Fig. 3. Proposed subcarrier generation with FS-embedded highrobust relaxation oscillator. converter consisting of M7, an equivalent resistor and an operational amplifier Y1. The equivalent resistor is implemented by a SC module comprised of the capacitor C REF and switch transistors S 1-2, controlled by a twophase non-overlapping clock with the frequency F REF. Large bypass capacitor C F3 and low-passed filters (LPFs) consisting of R F1-2 and C F1-2 with low cut-off frequencies are employed to suppress the switching ripple caused by the clock. To get differential triangular waveforms, operational amplifiers Y3-4 having class-ab output stage and shunt-shunt feedback configuration are designed with peak-to-peak voltage output of V. When the SC array is digitally tuned by the transmitted data, the oscillation frequency is digitally reconfigured and the proposed architecture thus performs FS function with low complexity and low power. That is, FSKmodulated triangular subcarrier generation is achieved. Given the size ratio K between M11-12 and M8, the oscillation frequencies f 1 and f 2 controlled by the transmitted data are depicted in Eqs. (1) and (2), respectively. Considering strict match design among the cascode current mirrors and the MIM capacitors (C, ΔC and C REF ), with V REF and V SW provided by an external supply and F REF generated by an external crystal oscillator, when is enough small in comparison to V SW, both f 1 and f 2 have high robustness over process, voltage and temperature (PVT) variations. K VREF CREF K VREF CREF f1 = FREF» F 2 V - D C + D C 2 V C + DC SW SW REF (1)

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, K VREF CREF K VREF CREF f2 = FREF» F 2 V - D C 2 V C SW SW REF (2) In this design, V REF, V SW and V CM are set to 0.6 V, 0.6 V and 0.9 V by an external supply, respectively. The external reference clock F REF is 1MHz and the currentmirror ratio K is fixed to 20. C REF =87C unit =8.7 pf, C=64C unit =6.4 pf and ΔC=4C unit =0.4 pf under typical case, respectively. V SW is slightly tuned to offset the inverse and minor effect of Δ. As a result, the subcarrier frequencies 12.8 and 13.6 MHz are generated with high robustness over PVT variations. The proposed subcarrier generation consumes 0.5 mw from a 1.8 V supply. Fig. 4 shows the proposed dual-path full-differential Ring VCO for RF FM, which consists of a V-to-I converter, a replica cell, an oscillation cell and an output buffer. The presented Ring VCO in function is similar to an RC oscillator with slow charging and fast discharging feature, whose oscillation frequency is inversely proportional to the time-constant RC. Here R and C are the equivalent resistance and parasitic capacitor at node A (or B), respectively. By changing the equivalent R by control voltages, the oscillation frequency is tuned. The transistors M5-10 with linear working region are employed to implement the equivalent R, which have fixed voltage drop and tuned through current. Control voltages, by modifying the through current, tune the equivalent R of M5-10 and thus the oscillation frequency. That is actually the operation principle of the proposed Ring VCOs. The negative feedback loop embedded in the replica cell and the strict match design between the oscillation cell and the replica cell, ensure the output swing of the oscillation cell is clamped between V DD and V REF and set the voltage drop of V DD -V REF across M5-10. With the current through M5-10 modified by the control voltages V M and V C via a dual-path V-to-I convertor, the oscillation (carrier) frequency depicted in Eq. (3) is tuned. The Ring VCO has two tuning paths: V M for frequency modulation path; and V C for f C calibration path with the FLL. To achieve 600 MHz RF bandwidth, the modulation VCO gain of 330 MHz/V is required. To cover f C deviation of ±10% under PVT variations, the calibration VCO gain of 440 MHz/V is designed. In this work, V REF is set to 1.2 V and the center frequency f C0 is Fig. 4. Proposed dual-path Ring VCO for RF FM. Fig. 5. Proposed quasi-continuous FLL for f C calibration. fixed to 3.97 GHz. The proposed Ring VCO consumes 3.2 mw from a 1.8 V supply. f C - VM VC fc0 µ C( V -V ) R + C( V -V ) R DD REF M DD REF C In order to solve the undesired f C shift of the Ring VCO due to PVT variations, a quasi-continuous lowspeed FLL shown in Fig. 5 is introduced with the operation principle clarified in [12, 13]. The FLL compares the divided CF by 64 with the reference frequency F CAL in a frequency detector (FD) with a calibration word N CAL, whose outputs make the control word of a bidirectional counter (CNT) self-add or selfsubtract by 1. The 8-bit digital control word is sent to a single-loop (SL) delta-sigma (Δ-Σ) modulator (DSM) based digital-to-analog converter (DAC) with low cut-off (3)

5 198 BO ZHOU et al : A LOW-POWER LOW-COMPLEXITY TRANSMITTER FOR FM-UWB SYSTEMS frequency and then converted to an analog differential voltage V C for f C calibration. Considering the high-speed current-mode-logic (CML) divider-by-8 is power starving, a 10% gating mechanism (quasi-continuous operation) is applied to save the system power without affecting the calibration function [12, 13]. With quasicontinuous FLL, the carrier frequency of the UWB signal is calibrated with 0.6 mw power dissipation from a 1.8 V supply and conforms to Eq. (4). 64 ( N - 1) f f» 64N f 64 ( N + 1) f CAL CAL C CAL CAL CAL CAL (4) In [12, 13], an additional analog buffer is added between the DAC and VCO to avoid the kickback noise from the varactors of LC VCO. However in this work, since the DAC outputs are sent to a CMOS input-pair of Ring VCO, there is not kickback noise and thus additional buffer is absent. In this design, F CAL =1 MHz, N CAL =62, the DSM clock F CK =40 MHz, the gating clock of 2 khz has a duty cycle of 10% and the loop cut-off frequency is set to 300 Hz. Hence f C is set to 3.97GHz in theory. However, considering the FD is asynchronous logic which causes the maximum counting deviation of 1 from N CAL, f C thus has the maximum shift of 64 MHz from 3.97 GHz. 2. RF Front-Ends and Used Package Model The RF front-ends including OA, preamplifier and UWB bowtie antenna have been clarified in [14]. Fig. 6 shows the schematics of OA and preamplifier. The OA with two-stage pseudo-differential cascode architecture has 14 dbm output power for 600 MHz RF bandwidth. The active-balun embedded preamplifier is designed with an average power gain of 25 db and a noise figure (NF) of 6 db. The receiver front-end is set to 60 dbm sensitivity for a communication range within 60cm. The designed UWB antenna based on FR4-type printed circuit board (PCB) employs radial planar bowtie architecture and achieves the frequency range of 3-5 GHz, average gain of 1.5 db and voltage standing wave ratio (VSWR) of 13 db. For RF front-ends, parasitic parameters introduced by bonding, packaging and soldering cause non-neglected effects on RF performances, and need to be considered in Fig. 6. Presented RF front-ends and used package model. design. Thus a package model, shown in Fig. 6 and considering bonding wire, electrostatic discharge (ESD) protection and PCB soldering, is applied to the OA and preamplifier designs. Here μ 0, ρ, l and r are permeability, resistivity, length and radius of bonding wires, respectively, and f is the frequency range of the UWB signal. The model parameters are strongly related to a certain chip fabrication and package process. For our case, the bonding wire material is aluminum with l=3 mm, r=12.5 μm and f= GHz. Under 180nm CMOS, the OA output does not need ESD protection but the preamplifier input does, which makes the corresponding model parameters different. 3. FM-UWB Receiver The receiver based on a phase-interpolation based FM demodulator and a low-complexity single-phase SCP has been declared in [13]. Fig. 7 presents the receiver circuit consisting of a FM demodulator, an AAF, a downconverter with a triangular local oscillator (LO), a LPF with on-chip time-constant calibration, and a comparator (hard limiter). The FM demodulator with an RF pre-gain stage has a demodulation sensitivity of 35 dbm. Delay switches based on a V-to-I converter and CML amplifiers have an effective duty cycle D controlled by an external voltage, setting the equivalent and configurable delay depicted in Eq. (5). The required delay τ for the given f C of 3.97 GHz is 189 ps. Here, the fixed delay τ 1 =160 ps and the variable delay τ 2 =60 ps. As a result, a delay range of 160~220 ps is covered and ±16% tuning range of f C is ensured.

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, Fig. 8. Transceiver chip micrograph. Fig. 9. Transceiver test bench for wireless data transmission. Fig. 7. Presented FM-UWB receiver with phase-interpolation based FM demodulator and single-phase subcarrier processor. t = Dt1 + (1 - D)(t1 + t 2 ) = t1 + (1 - D)t 2 = 3 4 fc (5) The AAF is based on a 4th-order Butterworth active RC architecture with the cut-off frequency of 26.4 MHz. The recovered subcarrier signal with effective frequency components of 12.8 and 13.6 MHz, after filtered by the AAF, is down converted to 0 Hz (data 0 ) and 800 khz (data 1 ) signals by the sequent down converter based on a Gilbert multiplier. The 12.8 MHz triangular LO employs the architecture shown in Fig. 3 with the SC array always on. After subcarrier down-conversion, a LPF and a sequent comparator reconstruct the digital FSK signal. The LPF employs 5th-order 1 db-ripple Chebyshev active RC architecture with the cut-off frequency of 900 khz and on-chip time-constant calibration logic [13]. For the reconstructed digital FSK signal, under DR of 12.5kb/s, the series of 64 (800/12.5) square waveforms represent data 1, and zero or a few square waveforms represent data 0 during each bit period. That is easy for the sequent digital 2-FSK demodulator implemented in a field programmable gate array (FPGA) to make distinctions between 0 and 1. IV. EXPERIMENTAL RESULTS A prototype low-dr FM-UWB transceiver employing the proposed transmitter architecture is fabricated in 0.18 μm CMOS. Fig. 8 shows the transceiver chip micrograph with an active core area of 1.7 mm2, in which the transmitter only occupies 0.5 mm2. The transmitter, receiver and RF front-ends have the power dissipation of 4.3 mw, 11.2 mw and 3.2 mw from a 1.8 V supply, respectively. Fig. 9 shows the transceiver test bench for short-range wireless data transmission. Two same test boards with the presented transceiver chips and digital configuration words provided by Winbond 8051 are used as the transmitting and receiving stations, respectively. Both the digital FSK demodulator and bit error rate (BER) arithmetic are implemented in the FPGA. The measured spectra and transient waveforms can be observed using Agilent and Tektronix instruments. The FS-embedded high-robust relaxation oscillator centered at 13.2 MHz generates triangular waveforms

7 200 BO ZHOU et al : A LOW-POWER LOW-COMPLEXITY TRANSMITTER FOR FM-UWB SYSTEMS Table 1. Transmitter performance summary and comparison Fig. 10. Measured UWB spectra with different f C setting. data transmission with a delay of two bit periods. The measured BER of 10 6 is achieved with a wireless communication distance of 60 cm. The performance of the presented transmitter is summarized and compared to the existing designs in Table 1. The proposed architecture achieves good tradeoff between design complexity, power dissipation and phase noise. With advanced process such as 65nm CMOS, the chip area and power dissipation of the transmitter could be further optimized. V. CONCLUSIONS Fig. 11. Measured receiver FSK and recovered data vs. transmitted data. with 1% amplitude distortion and achieves the simulated phase noise of 108 dbc/hz at 1 MHz offset frequency. Fig. 10 shows the measured UWB spectra with different f C setting, showing that the carrier frequency is effectively controlled by the FLL with quasi-continuous operation. For the given F CAL of 1 MHz, the 1-bit LSB change in the control word N CAL causes the frequency band shift of about 64 MHz as expected. The Ring VCO has the total tuning range of GHz with the simulated phase noise of 82 dbc/hz at 1 MHz offset frequency. Fig. 11 shows the measured receiver FSK and recovered data with comparison to the transmitted data. The FSK signal simply follows the PRBS data pattern, the series of 64 square waveforms representing data 1, and zero or a few square waveforms representing data 0 for each bit period with DR of 12.5 kb/s. The result shows that the transceiver successfully conducts wireless An GHz FM-UWB transceiver is fabricated in 0.18 μm CMOS with the proposed low-power lowcomplexity transmitter architecture, which employs a FSembedded high-robust relaxation oscillator for subcarrier generation and a dual-path Ring VCO for RF FM with f C calibrated by a quasi-continuous buffer-absent FLL. The experimental results verify that the presented transceiver conducts short-range low-dr wireless data transmission well with a BER of 10 6 at a distance of 60 cm. The proposed transmitter achieves a low power dissipation of 4.3 mw from a 1.8 V supply, excluding the OA. ACKNOWLEDGMENTS This work is supported by the National Natural Science Foundation of China ( ), Beijing Natural Science Foundation ( ), Basic Research Foundation of Beijing Institute of Technology ( ), and the China Scholarship Council ( ).

8 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, REFERENCES [1] A. Rabbachin, et al, Non-coherent UWB communication in the presence of multiple narrowband interferers, Wireless Communications, IEEE Transactions on, Vol.9, No.11, pp , Nov., [2] J.F.M. Gerrits, et al, Low-complexity ultra wideband communications, Circuits and Systems, 2007, ISCAS 2007, IEEE International Symposium on, 27-30, pp , May, [3] R.K. Dokania, et al, A low power impulse radio design for body-area-networks, Circuits and Systems- I: Regular Papers, IEEE Transactions on, Vol.58, No.7, pp , July, [4] J.F.M. Gerrits, et al, System and circuit considerations for low-complexity constant-envelope FM-UWB, Circuits and Systems, 2010, ISCAS 2010, IEEE International Symposium on, 1-3, pp , June, [5] J.F.M. Gerrits, et al, A 7.2 GHz GHz FM-UWB transceiver prototype, Ultra-Wideband, 2009, ICUWB 2009, IEEE International Conference on, 9-11, pp , Sept., [6] N. Saputra, and J.R. Long, A short-range low datarate regenerative FM-UWB receiver, Microwave Theory and Techniques, IEEE Transactions on, Vol.59, No.4, pp , Apr., [7] N. Saputra, and J.R. Long, A fully-integrated, shortrange, low data rate FM-UWB transmitter in 90 nm CMOS, Solid-State Circuits, IEEE Journal of, Vol.46, No.7, pp , July, [8] F. Chen, et al, A 3.8mW, 3.5-4GHz regenerative FM-UWB receiver with enhanced linearity by utilizing a wideband LNA and dual bandpass filters, Radio Frequency Integration Technology, 2012, RFIT 2012, IEEE International Symposium on, 21-23, pp , Nov., [9] F. Chen, et al, A 1.14mW 750kb/s FM-UWB transmitter with 8-FSK subcarrier modulation, Custom Integrated Circuits Conference, 2013, CICC 2013, IEEE, 22-25, pp.1-4, Sept., [10] M. Detratti, et al, A 4.6mW GHz RF transmitter IC for FM-UWB applications, Ultra- Wideband, 2009, ICUWB 2009, IEEE International Conference on, 9-11, pp , Sept., [11] P. Nilsson, et al, A low complexity DDS IC for FM- UWB applications, Mobile and Wireless Communications Summit, 2007, 16th IST, 1-5, pp.1-5, July, [12] B. Zhou, et al, A 1Mb/s GHz reconfigurable FM-UWB transmitter in 0.18μm CMOS, Radio Frequency Integrated Circuits Symposium, 2011, RFIC 2011, IEEE, 5-7, pp.1-4, June, [13] B. Zhou, et al, A gated FM-UWB system with datadriven front-end power control, Circuits and Systems-I: Regular Papers, IEEE Transactions on, Vol.59, No.6, pp , June, [14] B. Zhou, et al, A reconfigurable FM-UWB transceiver for short-range wireless communications, Microwave and Wireless Components Letters, IEEE, Vol.23, No.7, pp , July, [15] W. Rhee, A low power, wide linear-range CMOS voltage-controlled oscillator, Circuits and Systems, 1998, ISCAS 1998, IEEE International Symposium on, 1-3, pp vol.2, June, Bo Zhou received the B.S. degree from Hunan University, Changsha, China, in 2002, and the M.S. degree in Shanghai Jiaotong University, Shanghai, China, in 2005, and the Ph.D. degree in Tsinghua University, Beijing, China, in 2012, respectively. In 2005, he joined STMicroelectronics Co. Ltd., Shanghai, China, and worked on car-body electronic power design. In 2007, he joined Agere System Co. Ltd., Shanghai, China, and worked on magnetic head readwrite channel design. In 2012, he joined the faculty of the School of Information and Electronics at Beijing Institute of Technology. His research interests cover delta-sigma PLLs, fully-digital transmitter, polar transmitter and frequencymodulated ultra-wideband transceivers. Jingchao Wang received the B.S. degree from Tsinghua University, Beijing, China, in 2004, and the M.S. degree in Tsinghua University, Beijing, China, in 2006, and the Ph.D. degree in Tsinghua University, Beijing, China, in 2010, respectively. His research interests cover fully-digital transmitter, polar transmitter and mobile communication baseband transceivers.

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics C5 - Synchronous demodulation» AM and FM demodulation» Coherent demodulation» Tone decoders AY 2015-16 19/03/2016-1

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL 1 Parmjeet Singh, 2 Rekha Yadav, 1, 2 Electronics and Communication Engineering Department D.C.R.U.S.T. Murthal, 1, 2 Sonepat,

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Twelve voice signals, each band-limited to 3 khz, are frequency -multiplexed using 1 khz guard bands between channels and between the main carrier

Twelve voice signals, each band-limited to 3 khz, are frequency -multiplexed using 1 khz guard bands between channels and between the main carrier Twelve voice signals, each band-limited to 3 khz, are frequency -multiplexed using 1 khz guard bands between channels and between the main carrier and the first channel. The modulation of the main carrier

More information

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks Minjoo Yoo / Jaehyuk Choi / Ming hao Wang April. 13 th. 2009 Contents Introduction Circuit Description

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

Problems from the 3 rd edition

Problems from the 3 rd edition (2.1-1) Find the energies of the signals: a) sin t, 0 t π b) sin t, 0 t π c) 2 sin t, 0 t π d) sin (t-2π), 2π t 4π Problems from the 3 rd edition Comment on the effect on energy of sign change, time shifting

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Master Degree in Electronic Engineering

Master Degree in Electronic Engineering Master Degree in Electronic Engineering Analog and telecommunication electronic course (ATLCE-01NWM) Miniproject: Baseband signal transmission techniques Name: LI. XINRUI E-mail: s219989@studenti.polito.it

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation Ashok Swaminathan,2, Kevin J. Wang, Ian Galton University of California, San Diego, CA 2 NextWave Broadband, San

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d

A low-if 2.4 GHz Integrated RF Receiver for Bluetooth Applications Lai Jiang a, Shaohua Liu b, Hang Yu c and Yan Li d Applied Mechanics and Materials Online: 2013-06-27 ISSN: 1662-7482, Vol. 329, pp 416-420 doi:10.4028/www.scientific.net/amm.329.416 2013 Trans Tech Publications, Switzerland A low-if 2.4 GHz Integrated

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: 100 MHz, 10 dbm direct VCO modulating FM transmitter Project number: 4 Project Group: Name Project

More information

Research and Design of Envelope Tracking Amplifier for WLAN g

Research and Design of Envelope Tracking Amplifier for WLAN g Research and Design of Envelope Tracking Amplifier for WLAN 802.11g Wei Wang a, Xiao Mo b, Xiaoyuan Bao c, Feng Hu d, Wenqi Cai e College of Electronics Engineering, Chongqing University of Posts and Telecommunications,

More information

How to turn an ADC into a DAC: A 110dB THD, 18mW DAC using sampling of the output and feedback to reduce distortion

How to turn an ADC into a DAC: A 110dB THD, 18mW DAC using sampling of the output and feedback to reduce distortion How to turn an ADC into a DAC: A 110dB THD, 18mW DAC using sampling of the output and feedback to reduce distortion Axel Thomsen, Design Manager Silicon Laboratories Inc. Austin, TX 1 Why this talk? A

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

CMOS LNA Design for Ultra Wide Band - Review

CMOS LNA Design for Ultra Wide Band - Review International Journal of Innovation and Scientific Research ISSN 235-804 Vol. No. 2 Nov. 204, pp. 356-362 204 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/ CMOS LNA

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

Fabricate a 2.4-GHz fractional-n synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer University of Malaya From the SelectedWorks of Professor Mahmoud Moghavvemi Summer June, 2013 Fabricate a 2.4-GHz fractional-n synthesizer H Ameri Mahmoud Moghavvemi, University of Malaya a Attaran Available

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Package and Pin Assignment SSOP-6 (0.64mm pitch) OSCIN OSCOUT TXEN 3 VSS 4 TXOUT 5 VSS 6 7 MODIN 8 HiMARK SW DO RES RESB VREFP VSS Symbol

Package and Pin Assignment SSOP-6 (0.64mm pitch) OSCIN OSCOUT TXEN 3 VSS 4 TXOUT 5 VSS 6 7 MODIN 8 HiMARK SW DO RES RESB VREFP VSS Symbol Low Power ASK Transmitter IC HiMARK Technology, Inc. reserves the right to change the product described in this datasheet. All information contained in this datasheet is subject to change without prior

More information

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao Applied Mechanics and Materials Online: 2012-12-13 ISSN: 1662-7482, Vols. 256-259, pp 2373-2378 doi:10.4028/www.scientific.net/amm.256-259.2373 2013 Trans Tech Publications, Switzerland Ground-Adjustable

More information

Tuesday, March 29th, 9:15 11:30

Tuesday, March 29th, 9:15 11:30 Oscillators, Phase Locked Loops Tuesday, March 29th, 9:15 11:30 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 29th of March:

More information

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION

Bluetooth Receiver. Ryan Rogel, Kevin Owen I. INTRODUCTION 1 Bluetooth Receiver Ryan Rogel, Kevin Owen Abstract A Bluetooth radio front end is developed and each block is characterized. Bits are generated in MATLAB, GFSK endcoded, and used as the input to this

More information

WITH THE exploding growth of the wireless communication

WITH THE exploding growth of the wireless communication IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 2, FEBRUARY 2012 387 0.6 3-GHz Wideband Receiver RF Front-End With a Feedforward Noise and Distortion Cancellation Resistive-Feedback

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

433MHz front-end with the SA601 or SA620

433MHz front-end with the SA601 or SA620 433MHz front-end with the SA60 or SA620 AN9502 Author: Rob Bouwer ABSTRACT Although designed for GHz, the SA60 and SA620 can also be used in the 433MHz ISM band. The SA60 performs amplification of the

More information

A 915 MHz CMOS Frequency Synthesizer

A 915 MHz CMOS Frequency Synthesizer UNIVERSITY OF CALIFORNIA Los Angeles A 915 MHz CMOS Frequency Synthesizer A thesis submitted in partial satisfaction of the requirements for the degree Master of Science in Electrical Engineering by Jacob

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider Hamid Rategh, Hirad Samavati, Thomas Lee OUTLINE motivation introduction synthesizer architecture synthesizer building

More information

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS 2017 5th International Conference on Computer, Automation and Power Electronics (CAPE 2017) A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS Chaoxuan Zhang1, a, *, Xunping

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16 320 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 2, FEBRUARY 2009 A 5-GHz CMOS Frequency Synthesizer With an Injection-Locked Frequency Divider and Differential Switched Capacitors

More information

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution Phase Noise and Tuning Speed Optimization of a 5-500 MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution BRECHT CLAERHOUT, JAN VANDEWEGE Department of Information Technology (INTEC) University of

More information

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.326 ISSN(Online) 2233-4866 A Switched VCO-based UWB Transmitter for

More information

Wavedancer A new ultra low power ISM band transceiver RFIC

Wavedancer A new ultra low power ISM band transceiver RFIC Wavedancer 400 - A new ultra low power ISM band transceiver RFIC R.W.S. Harrison, Dr. M. Hickson Roke Manor Research Ltd, Old Salisbury Lane, Romsey, Hampshire, SO51 0ZN. e-mail: roscoe.harrison@roke.co.uk

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

UWB Hardware Issues, Trends, Challenges, and Successes

UWB Hardware Issues, Trends, Challenges, and Successes UWB Hardware Issues, Trends, Challenges, and Successes Larry Larson larson@ece.ucsd.edu Center for Wireless Communications 1 UWB Motivation Ultra-Wideband Large bandwidth (3.1GHz-1.6GHz) Power spectrum

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany Low Power Consideration in Transceiver Design for Internet of

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

UNIT III ANALOG MULTIPLIER AND PLL

UNIT III ANALOG MULTIPLIER AND PLL UNIT III ANALOG MULTIPLIER AND PLL PART A (2 MARKS) 1. What are the advantages of variable transconductance technique? [AUC MAY 2012] Good Accuracy Economical Simple to integrate Reduced error Higher bandwidth

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

ANALOG COMMUNICATION

ANALOG COMMUNICATION ANALOG COMMUNICATION TRAINING LAB Analog Communication Training Lab consists of six kits, one each for Modulation (ACL-01), Demodulation (ACL-02), Modulation (ACL-03), Demodulation (ACL-04), Noise power

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis July 27, 1998 Rafael J. Betancourt Zamora and Thomas H. Lee Stanford Microwave Integrated Circuits Laboratory jeihgfdcbabakl Paul G. Allen

More information

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

Enhancement of VCO linearity and phase noise by implementing frequency locked loop Enhancement of VCO linearity and phase noise by implementing frequency locked loop Abstract This paper investigates the on-chip implementation of a frequency locked loop (FLL) over a VCO that decreases

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Progress In Electromagnetics Research C, Vol. 74, 31 40, 2017 4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Muhammad Masood Sarfraz 1, 2, Yu Liu 1, 2, *, Farman Ullah 1, 2, Minghua Wang 1, 2, Zhiqiang

More information

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau CMOS RFIC Design for Direct Conversion Receivers Zhaofeng ZHANG Supervisor: Dr. Jack Lau Outline of Presentation Background Introduction Thesis Contributions Design Issues and Solutions A Direct Conversion

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Ten-Tec Orion Synthesizer - Design Summary. Abstract

Ten-Tec Orion Synthesizer - Design Summary. Abstract Ten-Tec Orion Synthesizer - Design Summary Lee Jones 7/21/04 Abstract Design details of the low phase noise, synthesized, 1 st local oscillator of the Ten-Tec model 565 Orion transceiver are presented.

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information