Power Distribution Networks with On-Chip Decoupling Capacitors

Size: px
Start display at page:

Download "Power Distribution Networks with On-Chip Decoupling Capacitors"

Transcription

1 Power Distribution Networks with On-Chip Decoupling Capacitors

2 Mikhail h Popovich Andrey V. Mezhiba Eby G. Friedman Power Distribution Networks with On-Chip Decoupling Capacitors ABC

3 Mikhail Popovich University of Rochester Rochester, NY USA Andrey V. Mezhiba Intel Corporation Hillsboro, OR USA Eby G. Friedman University of Rochester Rochester, NY USA Library of Congress Control Number: ISBN e-isbn Springer Science + Business Media, LLC All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science + Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights springer.com

4 To Oksana and Elizabeth To Elizabeth To Laurie, Joseph, and Samuel

5 Preface The purpose of this book is to provide insight and intuition into the behavior and design of power distribution systems with decoupling capacitors for application to high speed integrated circuits. The primary objectives are threefold. First, to describe the impedance characteristics of the overall power distribution system, from the voltage regulator through the printed circuit board and package onto the integrated circuit to the power terminals of the on-chip circuitry. The second objective of this book is to discuss the inductive characteristics of on-chip power distribution grids and the related circuit behavior of these structures. Finally, the third primary objective is to present design methodologies for efficiently placing on-chip decoupling capacitors in nanoscale integrated circuits. Technology scaling has been the primary driver behind the amazing performance improvement of integrated circuits over the past several decades. The speed and integration density of integrated circuits have dramatically improved. These performance gains, however, have made distributing power to the on-chip circuitry a difficult task. Highly dense circuitry operating at high clock speeds have increased the distributed current to many tens of amperes, while the noise margin of the power supply has shrunk consistent with decreasing power supply levels. These trends have elevated the problems of power distribution and allocation of the on-chip decoupling capacitors to the forefront of several challenges in developing high performance integrated circuits. This book is based on the body of research carried out by Mikhail Popovich from 2001 to 2007 and Andrey V. Mezhiba from 1998 to 2003 at the University of Rochester during their doctoral studies under the supervision of Professor Eby G. Friedman. It is apparent to

6 VIII Preface the authors that although various aspects of the power distribution problem have been addressed in numerous research publications, no text exists that provides a unified focus on power distribution systems and related design problems. Furthermore, the placement of on-chip decoupling capacitors has traditionally been treated as an algorithmic oriented problem. A more electrical perspective, both circuit models and design techniques, has been used in this book for presenting how to efficiently allocate on-chip decoupling capacitors. The fundamental objective of this book is to provide a broad and cohesive treatment of these subjects. Another consequence of higher speed and greater integration density has been the emergence of inductance as a significant factor in the behavior of on-chip global interconnect structures. Once clock frequencies exceeded several hundred megahertz, incorporating on-chip inductance into the circuit analysis process became necessary to accurately describe signal delays and waveform characteristics. Although on-chip decoupling capacitors attenuate high frequency signals in power distribution networks, the inductance of the on-chip power interconnect is expected to become a significant factor in multi-gigahertz digital circuits. An important objective of this book, therefore, is to clarify the effects of inductance on the impedance characteristics of on-chip power distribution grids and to provide an understanding of related circuit behavior. The organization of the book is consistent with these primary goals. The first eight chapters provide a general description of distributing power in integrated circuits with decoupling capacitors. The challenges of power distribution are introduced and the principles of designing power distribution systems are described. A general background to decoupling capacitors is presented followed by a discussion of the use of a hierarchy of capacitors to improve the impedance characteristics of the power network. An overview of related phenomena, such as inductance and electromigration, is also presented in a tutorial style. The following seven chapters are dedicated to the impedance characteristics of on-chip power distribution networks. The effect of the interconnect inductance on the impedance characteristics of on-chip power distribution networks is investigated. The implications of these impedance characteristics on circuit behavior are also discussed. On-chip power distribution grids are described, exploiting multiple power supply voltages and multiple grounds. Techniques and algorithms for the computer-aided design and

7 Preface IX analysis of power distribution networks are also described; however, the emphasis of the book is on developing circuit intuition and understanding the electrical principles that govern the design and operation of power distribution systems. The remaining five chapters focus on the design of a system of on-chip decoupling capacitors. Methodologies for designing power distribution grids with on-chip decoupling capacitors are also presented. These techniques provide a solution for determining the location and magnitude of the on-chip decoupling capacitance to mitigate on-chip voltage fluctuations. Acknowledgments The authors would like to thank Alex Greene and Katelyn Stanne from Springer for their support and assistance. We are particularly thankful to Bill Joyner and Dale Edwards from the Semiconductor Research Corporation, and Marie Burnham, Olin Hartin, and Radu Secareanu from Freescale Semiconductor Corporation for their continued support of the research project that culminated in this book. The authors would also like to thank Emre Salman for his corrections and suggestions on improving the quality of the book. Finally, we are grateful to Michael Sotman and Avinoam Kolodny from Technion Israel Institute of Technology for their collaboration and support. The original research work presented in this book was made possible in part by the Semiconductor Research Corporation under Contract Nos. 99 TJ 687 and 2004 TJ 1207, the DARPA/ITO under AFRL Contract F K 0182, the National Science Foundation under Contract Nos. CCR and CCF , grants from the New York State Office of Science, Technology & Academic Research to the Center for Advanced Technology in Electronic Imaging Systems, and by grants from Xerox Corporation, IBM Corporation, Lucent Technologies Corporation, Intel Corporation, Eastman Kodak Company, Intrinsix Corporation, Manhattan Routing, and Freescale Semiconductor Corporation. Rochester, New York Hillsboro, Oregon June 2007 Mikhail Popovich and Eby G. Friedman Andrey V. Mezhiba

8 Contents 1 Introduction Evolution of integrated circuit technology Evolutionofdesignobjectives The problem of power distribution Deleterious effects of power distribution noise Signal delay uncertainty On-chipclockjitter Noisemargindegradation Degradation of gate oxide reliability Bookoutline Inductive Properties of Electric Circuits Definitions of inductance Field energy definition Magnetic flux definition Partial inductance Net inductance Variation of inductance with frequency Uniform current density approximation Inductance variation mechanisms Simple circuit model Inductive behavior of circuits Inductive properties of on-chip interconnect Summary Properties of On-Chip Inductive Current Loops Introduction... 59

9 XII Contents 3.2 Dependence of inductance on line length Inductive coupling between two parallel loop segments Application to circuit analysis Summary Electromigration Physical mechanism of electromigration Electromigration-induced mechanical stress Steady state limit of electromigration damage Dependence of electromigration lifetime on the line dimensions Statistical distribution of electromigration lifetime Electromigration lifetime under AC current Electromigration in novel interconnect technologies Designing for electromigration reliability Summary High Performance Power Distribution Systems Physical structure of a power distribution system Circuit model of a power distribution system Output impedance of a power distribution system A power distribution system with a decoupling capacitor Impedance characteristics Limitations of a single-tier decoupling scheme Hierarchical placement of decoupling capacitance Resonance in power distribution networks Fullimpedancecompensation Case study Designconsiderations Inductance of the decoupling capacitors Interconnect inductance Limitations of the one-dimensional circuit model Summary Decoupling Capacitance Introductiontodecouplingcapacitance Historical retrospective Decoupling capacitor as a reservoir of charge Practicalmodelofadecouplingcapacitor

10 Contents XIII 6.2 Impedance of power distribution system with decouplingcapacitors Target impedance of a power distribution system Antiresonance Hydraulic analogy of hierarchical placement of decouplingcapacitors Intrinsic vs intentional on-chip decoupling capacitance Intrinsicdecouplingcapacitance Intentionaldecouplingcapacitance Typesofon-chipdecouplingcapacitors Polysilicon-insulator-polysilicon (PIP) capacitors MOScapacitors Metal-insulator-metal(MIM)capacitors Lateralfluxcapacitors Comparison of on-chip decoupling capacitors On-chipswitchingvoltageregulator Summary On-Chip Power Distribution Networks Styles of on-chip power distribution networks Basic structure of on-chip power distribution networks Improving the impedance characteristics of on-chip power distribution networks Evolution of power distribution networks in Alpha microprocessors Die-packageinterface Otherconsiderations Summary Computer-Aided Design and Analysis Design flow for on-chip power distribution networks Linear analysis of power distribution networks Modeling power distribution networks Characterizing the power current requirements of on-chip circuits Numerical methods for analyzing power distribution networks

11 XIV Contents 8.6 Allocationofon-chipdecouplingcapacitors Charge-basedallocationmethodology Allocation strategy based on the excessive noise amplitude Allocation strategy based on excessive charge Summary Inductive Properties of On-Chip Power Distribution Grids Power transmission circuit Simulationsetup Gridtypes Inductance versus line width Dependence of inductance on grid type Non-interdigitated versus interdigitated grids Paired versus interdigitated grids Dependence of Inductance on grid dimensions Dependence of inductance on grid width Dependence of inductance on grid length Sheet inductance of power grids Efficient computation of grid inductance Summary Variation of Grid Inductance with Frequency Analysisapproach Discussion of inductance variation Circuit models Analysis of inductance variation Summary Inductance/Area/Resistance Tradeoffs Inductance vs. resistance tradeoff under a constant grid areaconstraint Inductance vs. area tradeoff under a constant grid resistanceconstraint Summary Scaling Trends of On-Chip Power Distribution Noise Priorwork

12 Contents XV 12.2 Interconnect characteristics Global interconnect characteristics Scaling of the grid inductance Flip-chip packaging characteristics Impactofon-chipcapacitance Model of power supply noise Power supply noise scaling Analysis of constant metal thickness scenario Analysis of the scaled metal thickness scenario ITRSscalingofpowernoise Implications of noise scaling Summary Impedance Characteristics of Multi-Layer Grids Electrical properties of multi-layer grids Impedance characteristics of individual grid layers Impedance characteristics of multi-layer grids Case study of a two layer grid Simulationsetup Inductive coupling between grid layers Inductive characteristics of a two layer grid Resistive characteristics of a two layer grid Variation of impedance with frequency in a two layergrid Design implications Summary Multiple On-Chip Power Supply Systems ICs with multiple power supply voltages Multiple power supply voltage techniques Clustered voltage scaling (CVS) Extended clustered voltage scaling (ECVS) Challenges in ICs with multiple power supply voltages Diearea Powerdissipation Design complexity Placementandrouting Optimum number and magnitude of available power supply voltages

13 XVI Contents 14.4 Summary On-Chip Power Distribution Grids with Multiple Supply Voltages Background Simulationsetup Power distribution grid with dual supply and dual ground InterdigitatedgridswithDSDG TypeIinterdigitatedgridswithDSDG TypeIIinterdigitatedgridswithDSDG Paired grids with DSDG Type I paired grids with DSDG Type II paired grids with DSDG Simulationresults Interdigitated power distribution grids without decouplingcapacitors Paired power distribution grids without decouplingcapacitors Power distribution grids with decoupling capacitors Dependence of power noise on the switching frequency of the current loads Design implications Summary Decoupling Capacitors for Multi-Voltage Power Distribution Systems Impedance of a power distribution system Impedance of a power distribution system Antiresonance of parallel capacitors Dependence of impedance on power distribution systemparameters Case study of the impedance of a power distribution system Voltage transfer function of power distribution system Voltage transfer function of a power distribution system Dependence of voltage transfer function on power distribution system parameters

14 Contents XVII 16.4 Case study of the voltage response of a power distribution system Overshoot-free magnitude of a voltage transfer function Tradeoff between the magnitude and frequency range Summary On-chip Power Noise Reduction Techniques in High Performance ICs Ground noise reduction through an additional low noise on-chipground Dependence of ground bounce reduction on system parameters Physical separation between noisy and noise sensitive circuits Frequency and capacitance variations Impedanceofanadditionalgroundpath Summary Effective Radii of On-Chip Decoupling Capacitors Background Effective radius of on-chip decoupling capacitor based onatargetimpedance Estimation of required on-chip decoupling capacitance Dominantresistivenoise Dominant inductive noise Criticallinelength Effectiveradiusasdeterminedbychargetime Design methodology for placing on-chip decoupling capacitors Model of on-chip power distribution network Case study Design implications Summary Efficient Placement of Distributed On-Chip Decoupling Capacitors Technologyconstraints Placing on-chip decoupling capacitors in nanoscale ICs 437

15 XVIII Contents 19.3 Design of a distributed on-chip decoupling capacitor network Design tradeoffs in a distributed on-chip decoupling capacitornetwork Dependence of system parameters on R Minimum C Minimum total budgeted on-chip decoupling capacitance Design methodology for a system of distributed on-chip decouplingcapacitors Case study Summary Impedance/Noise Issues in On-Chip Power Distribution Networks Scalingeffectsinchip-packageresonance Propagation of power distribution noise Local inductive behavior Summary Conclusions Appendices A B C D Mutual Loop Inductance in Fully Interdigitated Power Distribution Grids with DSDG Mutual Loop Inductance in Pseudo-Interdigitated Power Distribution Grids with DSDG Mutual Loop Inductance in Fully Paired Power Distribution Grids with DSDG Mutual Loop Inductance in Pseudo-Paired Power Distribution Grids with DSDG References Index

16 List of Figures 1.1 Microphotographs of the first integrated circuit (IC) and first monolithic IC along with a high performance, high complexity IC Evolution of transistor count of microprocessors and memoryics Evolution of microprocessor clock frequency Evolution of design criteria in CMOS integrated circuits Microphotograph of the Evolution of microprocessor power consumption Basic power delivery system Evolution of the average current in high performance microprocessors Increase in power current of microprocessors with technologyscaling ScalingoftheCMOSnoisemargins Projections of the target impedance of a power distribution system A grid structured power distribution network Cycle-to-cycle jitter of a clock signal Peak-to-peakjitterofaclocksignal Two complete current loops A circuit with branch points Two segmented current loops A straight round wire

17 XX List of Figures 2.5 Self and mutual partial inductance of a straight segment of wire Loop magnetic flux in terms of partial fluxes The signal and return current paths Internal magnetic flux of a round conductor Proximityeffectintwocloselyspacedlines Current loop with two alternative current return paths A cross-sectional view of two parallel current paths sharing the same current return path A circuit model of two current paths with different inductive properties Impedance characteristics of two current paths with dissimilar impedance characteristics A RL ladder circuit A line length region where signal transmission exhibits inductive behavior The line inductance design space with significant inductive behavior A signal line in an integrated circuit environment Two representations of a straight line inductance A complete current loop formed by two straight parallel lines Inductance per length versus line length Inductance per length versus line length in terms of the per cent difference A current loop formed by two parallel lines Two loop segments connected in parallel Electromigration induced circuit faults Electromigration in an interconnect line Electromigration lifetime versus line width Grain structure of interconnect lines Log-normal distribution of electromigration failures A train of current pulses Via void formation in dual-damascene interconnect A cross-sectional view of a power distribution system. 88

18 List of Figures XXI 5.2 A one-dimensional circuit model of the power supply system A reduced circuit model of a power supply system An output impedance model of a power distribution system A power distribution system without decoupling capacitors Impedance of the power distribution system with no decouplingcapacitors A power distribution network with a decoupling capacitor Impedance of the power distribution system with a decouplingcapacitor The path of current flow in a power distribution systemwithadecouplingcapacitor A circuit model of a power distribution system with a boarddecouplingcapacitance Impedance of a power distribution system with a boarddecouplingcapacitance A circuit model of a power distribution system with boardandpackagedecouplingcapacitances Impedance of the power distribution system with boardandpackagedecouplingcapacitances A model of output impedance of a power distribution system with board, package, and on-chip decoupling capacitances Impedance of a power distribution system with board, package,andon-chipdecouplingcapacitances Variation of the power current loop with frequency A parallel resonant circuit Asymptotic impedance characteristics of a tank circuit Design space of resistance in a tank circuit Decoupling capacitance requirements Impedance characteristics of a fully compensated tank circuit Impedance of a fully compensated power distribution system Case study impedance characteristics Placement of area array connections for low inductance

19 XXII List of Figures 6.1 Leyden jar originally developed by Ewald Georg von Kleist in 1745 and independently invented by Pieter van Musschenbroek in Capacitance of two metal lines placed over a substrate Hydraulic model of a decoupling capacitor as a reservoirofcharge Practicalmodelofadecouplingcapacitor Physical structure of an on-chip MOS decoupling capacitor A circuit network representing the impedance of a power distribution system with decoupling capacitors as seen from the terminals of the current load A circuit network representing the impedance of a power distribution system without decoupling capacitors Impedance of a power distribution system without decouplingcapacitors Antiresonance of the output impedance of a power distribution network Impedance of a power distribution system with n identical decoupling capacitors connected in parallel Antiresonance of parallel capacitors, C 1 >C 2, L 1 = L 2,andR 1 = R Antiresonance of parallel capacitors Hydraulic analogy of the hierarchical placement of decouplingcapacitors Impedance of a power distribution system with board, package,andon-chipdecouplingcapacitances Intrinsic decoupling capacitance of the interconnect lines Intrinsic decoupling capacitance of a non-switching circuit N-well junction intrinsic decoupling capacitance Banksofon-chipdecouplingcapacitors ThinoxideMOSdecouplingcapacitor Equivalent RC model of a MOS decoupling capacitor Layout (a) and cross section (b) of a PIP oxide-nitride-oxide(ono)capacitor Thestructureofann-typeMOScapacitor

20 List of Figures XXIII 6.23 Capacitance versus gate voltage (CV) diagram of an n-typemoscapacitor Charge distribution in an NMOS capacitor operating in accumulation (V gb <V fb ) Accumulation charge density as a function of the applied gate voltage Charge distribution in an NMOS capacitor operating in depletion (V fb <V gb <V t ) Charge distribution of an NMOS capacitor operating in inversion (V t <V gb ) Layout (a) and cross section (b) of a deep-n + MOS capacitorconstructedinabicmosprocess CrosssectionofaMIMcapacitor A simplified structure of an interdigitated lateral flux capacitor (top view) Verticalfluxversuslateralflux Reduction of the bottom plate parasitic capacitance throughfluxstealing Wovencapacitor Switchingdecouplingcapacitors Routed power and ground distribution networks A mesh structured power distribution network A multi-layer power distribution grid On-chippowerandgroundplanes A power distribution network structured as a cascaded ring Narrowpowerlinesversuswidepowerlines Global power distribution network in Alpha microprocessor Closelyattachedcapacitor Flow of power current in an IC with peripheral I/O Flow of power current in an IC with flip-chip I/O Flip-chippingridarraypackage Interaction of the substrate and power distribution network Design flow for on-chip power distribution networks An RLC model of an on-chip power distribution network

21 XXIV List of Figures 8.3 Substitution of a nonlinear load with a time-dependent current source Characterization of the intrinsic decoupling capacitance Exploiting the symmetry of the power and ground distribution networks to reduce the model complexity Separation of the analysis of the RLC and RC-only parts of a power distribution system A hierarchical model of a power distribution network Flow chart for allocating on-chip decoupling capacitors Variation of ground supply voltage with time A simple power transmission circuit Two parallel coupled inductors Three types of power distribution grids Loop grid inductance versus number of lines Inductance of grids with 1 µm 1 µm cross section lines Inductance of grids with 1 µm 3 µm cross section lines Grid inductance versus grid length Threetypesofgridstructures A cross-sectional view of two parallel current paths sharing the same current return path A circuit model of two current paths with different inductive properties Impedance characteristics of two paths with dissimilar impedance characteristics Inductance of non-interdigitated grids versus frequency Loop inductance of paired grids versus frequency Loop inductance of interdigitated grids versus frequency Inductance versus resistance tradeoff scenario Grid inductance versus line width under a constant gridareaconstraint The sheet inductance L A versus line width under a constantgridareaconstraint

22 List of Figures XXV 11.4 Normalized sheet inductance and sheet resistance under a constant grid area constraint Inductance versus area tradeoff scenario The grid inductance versus line width under a constant gridresistanceconstraint The sheet inductance L R versus line width under a constantgridresistanceconstraint Normalized sheet inductance L R and grid area ratio A R under a constant grid resistance constraint An area array of on-chip power/ground I/O pads Decrease in flip-chip pad pitch with technology scaling A simplified circuit model of the on-chip power distribution network A model of the power distribution cell Scaling of a power distribution grid according to the constantmetalthicknessscenario Scaling of a power distribution grid according to the scaledmetalthicknessscenario Increase in power current demands of microprocessors withtechnologyscaling A power distribution grid model Scaling trends of resistive and inductive power supply noise under the constant metal thickness scenario Scaling trends of resistive and inductive power supply noise under the scaled metal thickness scenario A multi-layer power distribution grid Two stacks of layers comprising a multi-layer grid Impedance of the individual grid layers in a multi-layer grid Equivalent circuit of a stack of N gridlayers Variation of the inductance and resistance of a multi-layer stack with frequency General view of a two layer grid An equivalent circuit diagram of a two layer grid Alignment of two parallel grid layers with the same linepitch Inductance of a two layer grid versus the physical offset between the two layers

23 XXVI List of Figures Thecrosssectionofatwolayergrid Inductance of a two layer grid versus signal frequency Resistance of a two layer grid versus signal frequency Impedance magnitude of a two layer grid versus frequency An example single supply voltage circuit An example dual supply voltage circuit Static current as a result of a direct connection between the Vdd L gate and the V H dd gate Level converter circuit A dual power supply voltage circuit with the clustered voltagescaling(cvs)technique A dual power supply voltage circuit with the extended clustered voltage scaling (ECVS) technique Layout of an area-by-area architecture with a dual power supply voltage Layout of a row-by-row architecture with a dual power supply voltage In-row dual power supply voltage scheme Trend in power reduction with multi-voltage scheme as a function of the number of available supply voltages A lambda-shaped normalized path delay distribution function Dependence of the total power of a dual power supply system on a lower power supply voltage Vdd L A multi-layer on-chip power distribution grid Interdigitated power distribution grids under investigation Circuit diagram of the mutual inductive coupling of the DSDG power distribution grid Physical structure of an interdigitated power distribution grid with DSDG Physical structure of a fully interdigitated power distribution grid with DSDG Physical structure of a pseudo-interdigitated power distribution grid with DSDG

24 List of Figures XXVII 15.7 Total mutual inductance of interdigitated power distribution grids with DSDG as a function of line separation Physical structure of a fully paired power distribution gridwithdsdg Physical structure of a pseudo-paired power distribution grid with DSDG Total mutual inductance of paired power distribution grids with DSDG as a function of the ratio of the distance between the pairs to the line separation inside each pair (n) Maximum voltage drop for the four interdigitated power distribution grids under investigation Maximum voltage drop for the three paired power distribution grids under investigation Maximum voltage drop for interdigitated and paired power distribution grids under investigation Maximum voltage drop for seven types of power distribution grids with a decoupling capacitance Maximum voltage drop for the power distribution grid with SSSG as a function of frequency and line width for different values of decoupling capacitance Impedance of power distribution system with two supply voltages seen from the load of the power supply V dd Impedance of power distribution system with two supply voltages and the decoupling capacitors represented as series RLC networks Frequency dependence of the impedance of a power distribution system with dual supply voltages, R 1 = R 12 = R 2 =10mΩ,C 1 = C 12 = C 2 = 1 nf, and L 1 = L 12 = L 2 =1nH Antiresonance of the two capacitors connected in parallel, C 2 = C Antiresonance of a power distribution system with dual power supply voltages, R 1 = R 12 = R 2 =10mΩ, C 1 = C 2 = 1 nf, and L 1 = L 12 = L 2 =1nH Impedance of the power distribution system as a function of frequency

25 XXVIII List of Figures 16.7 Dependence of a dual V dd power distribution system impedance on frequency for different ESL of the decouplingcapacitors The impedance of a power distribution system with dual power supply voltages as a function of frequency, R 1 = R 12 = R 2 = 100 mω, C 1 = C 2 =10nF, C 12 = 1 nf, and L 1 = L 12 = L 2 =1nH Hierarchical model of a power distribution system with dual supply voltages and a single ground Voltage transfer function of a power distribution network with two supply voltages and the decoupling capacitors represented as series RLC networks Dependence of the magnitude of the voltage transfer function on frequency of a dual V dd power distribution system for different values of ESR of the decoupling capacitors, R 12 =10mΩ,C 12 = C 2 = 1 nf, and L 12 = L 2 =1nH Frequency dependence of the voltage transfer function of a dual V dd power distribution system for different values of ESL of the decoupling capacitors, R 12 = R 2 = 100 mω, C 12 = C 2 = 100 nf, and L 12 =10pH Frequency dependence of the voltage transfer function of a dual V dd power distribution system Dependence of the magnitude of the voltage transfer function of a dual V dd power distribution system on frequency for different values of the ESR and ESL of the decoupling capacitors, R 12 = R 2 =0.1Ω, C 12 =20nF,C 2 =40nF,andL 12 = L 2 =1nH Magnitude of the voltage transfer function of an example dual V dd power distribution system as a function of frequency An equivalent circuit for analyzing ground bounce in ansoc Groundbouncereductiontechnique Simplified circuit of the ground bounce reduction technique Ground bounce reduction as a function of noise frequency

26 List of Figures XXIX 17.5 Reduction in ground bounce as a function of capacitancevariations Placement of an on-chip decoupling capacitor based onthemaximumeffectivedistance Projection of the maximum effective radius as determined by the target impedance d max Z for future technology generations: I max = 10 ma, V dd = 1 V, and Ripple = Linear approximation of the current demand of a power distribution network by a current source Power distribution noise during discharge of an on-chip decoupling capacitor: I max = 100 ma, V dd =1V, t r =20ps,t f =80ps,R = 100 mω, L = 15 ph, and C dec =50pF Critical line length of an interconnect between a decoupling capacitor and a current load Dependence of the critical line length d crit on the rise time of the current load: I max =0.1A, V dd =1V, r =0.007 Ω/µm, and l =0.5pH/µm Design space for determining minimum required on-chip decoupling capacitance: I max = 50 ma, V dd =1V,r =0.007 Ω/µm, l =0.5pH/µm, t r = 100 ps, and t f =300ps Circuit charging an on-chip decoupling capacitor Design space for determining the maximum tolerable parasitic resistance and inductance of a power distribution grid: I max = 100 ma, t r = 100 ps, t f = 300 ps, C dec = 100 pf, V dd =1volt,and t ch =400ps Design flow for placing on-chip decoupling capacitors basedonthemaximumeffectiveradii The effective radii of an on-chip decoupling capacitor Model of a power distribution network Effective radii of an on-chip decoupling capacitor in a power distribution system modeled as a distributed RL mesh A schematic example allocation of on-chip decoupling capacitorsacrossanic

27 XXX List of Figures 19.1 Fundamental limits of on-chip interconnections Placement of on-chip decoupling capacitors using a conventionalapproach Aconventionalon-chipdecouplingcapacitor A network of distributed on-chip decoupling capacitors A physical model of a system of distributed on-chip decouplingcapacitors A circuit model of an on-chip distributed decoupling capacitornetwork Voltage across C 1 during discharge as a function of C 1 and R 2 : I max =0.01 ma, V dd =1volt,and t r =100ps The total budgeted on-chip decoupling capacitance as a function of the parasitic resistance of the metal lines, R 1 and R 2 : I max = 10 ma, V dd =1volt, V load =0.9 volt, and t r =100ps The total budgeted on-chip decoupling capacitance as a function of the parasitic resistance of the metal lines, R 1 and R 2 : I max = 10 ma, V dd =1volt, V load =0.9 volt, and t r =100ps Design flow for determining the parameters of a system of distributed on-chip decoupling capacitors Evolution of the impedance of a power distribution system in microprocessors Cross section of interconnect connecting the load and decouplingcapacitance The effect of circuit scaling on the on-chip capacitance allocation

28 List of Tables 5.1 Parameters of a case study power distribution system Four common types of on-chip decoupling capacitors in a90nmcmostechnology Inductive characteristics of power/ground grids Ideal scaling of CMOS circuits Scaling analyses of power distribution noise Impedance characteristics of power distribution grids with SSSG Impedance characteristics of interdigitated power distribution grids with DSSG Impedance characteristics of interdigitated power distribution grids with DSDG Impedance characteristics of Type I paired power distribution grids with DSDG Impedance characteristics of Type II paired power distribution grids with DSDG Case study of the impedance of a power distribution system Tradeoff between the magnitude and frequency range ofthevoltageresponse Ground bounce reduction as a function of the separation between the noisy and noise sensitive circuits

29 XXXII List of Tables 17.2 Ground bounce reduction for different values of parasitic resistance of the on-chip low noise ground Maximum effective radii of an on-chip decoupling capacitor for a single line connecting a decoupling capacitor to a current load Maximum effective radii of an on-chip decoupling capacitor for an on-chip power distribution grid modeled as a distributed RL mesh Dependence of the parameters of a distributed on-chip decoupling capacitor network on R Distributed on-chip decoupling capacitor network as a function of R 1 under the constraint of a minimum C The magnitude of the on-chip decoupling capacitors as a function of the parasitic resistance of the power/ground lines connecting the capacitors to the current load The magnitude of the on-chip decoupling capacitors as a function of the parasitic resistance of the power/ground lines connecting the capacitors to the current load for a limit on C

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

THE FEATURE size of integrated circuits has aggressively. Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits

THE FEATURE size of integrated circuits has aggressively. Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits 1148 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 11, NOVEMBER 2004 Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits Andrey V. Mezhiba

More information

POWER dissipation has become a critical design issue in

POWER dissipation has become a critical design issue in IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 217 Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman,

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

386 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 4, APRIL Andrey V. Mezhiba and Eby G. Friedman, Fellow, IEEE

386 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 4, APRIL Andrey V. Mezhiba and Eby G. Friedman, Fellow, IEEE 386 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 4, APRIL 2004 Scaling Trends of On-Chip Power Distribution Noise Andrey V. Mezhiba and Eby G. Friedman, Fellow, IEEE Abstract

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Introduction to Electromagnetic Compatibility

Introduction to Electromagnetic Compatibility Introduction to Electromagnetic Compatibility Second Edition CLAYTON R. PAUL Department of Electrical and Computer Engineering, School of Engineering, Mercer University, Macon, Georgia and Emeritus Professor

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Design and Modeling of High Speed Global On-Chip Interconnects

Design and Modeling of High Speed Global On-Chip Interconnects Design and Modeling of High Speed Global On-Chip Interconnects by Guoqing Chen Submitted in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Supervised by Professor Eby G. Friedman

More information

Variation Tolerant On-Chip Interconnects

Variation Tolerant On-Chip Interconnects Variation Tolerant On-Chip Interconnects ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

I. INTRODUCTION. Typically, two design metrics should be satisfied when characterizing the power noise in the time domain [4]: 1) the max-

I. INTRODUCTION. Typically, two design metrics should be satisfied when characterizing the power noise in the time domain [4]: 1) the max- IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 997 Worst Case Power/Ground Noise Estimation Using an Equivalent Transition Time for Resonance Emre Salman, Student

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

P R E F A C E The Focus of This Book xix

P R E F A C E The Focus of This Book xix P REFACE The Focus of This Book Power integrity is a confusing topic in the electronics industry partly because it is not well-defined and can encompass a wide range of problems, each with their own set

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Fundamentals of Power Electronics

Fundamentals of Power Electronics Fundamentals of Power Electronics SECOND EDITION Robert W. Erickson Dragan Maksimovic University of Colorado Boulder, Colorado Preface 1 Introduction 1 1.1 Introduction to Power Processing 1 1.2 Several

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Mohammed Ismail Ohio State University

More information

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan Department of Electrical

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

781/ /

781/ / 781/329-47 781/461-3113 SPECIFICATIONS DC SPECIFICATIONS J Parameter Min Typ Max Units SAMPLING CHARACTERISTICS Acquisition Time 5 V Step to.1% 25 375 ns 5 V Step to.1% 2 35 ns Small Signal Bandwidth 15

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

APPLICATION FOR APPROVAL OF A IENG EMPLOYER-MANAGED FURTHER LEARNING PROGRAMME

APPLICATION FOR APPROVAL OF A IENG EMPLOYER-MANAGED FURTHER LEARNING PROGRAMME APPLICATION FOR APPROVAL OF A IENG EMPLOYER-MANAGED FURTHER LEARNING PROGRAMME When completing this application form, please refer to the relevant JBM guidance notably those setting out the requirements

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019

Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019 Simple Power IC for the Switched Current Power Converter: Its Fabrication and Other Applications March 3, 2006 Edward Herbert Canton, CT 06019 Introduction: A simple power integrated circuit (power IC)

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology by Jingqi Liu A Thesis presented to The University of Guelph In partial fulfillment of requirements for the degree

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

The Designer s Guide to Jitter in Ring Oscillators

The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide Book Series Series Editor: Ken Kundert Cadence Design Systems San Jose, CA USA The Designer s Guide to Jitter in Ring Oscillators

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

Fundamentals of Power Semiconductor Devices

Fundamentals of Power Semiconductor Devices В. Jayant Baliga Fundamentals of Power Semiconductor Devices 4y Spri ringer Contents Preface vii Chapter 1 Introduction 1 1.1 Ideal and Typical Power Switching Waveforms 3 1.2 Ideal and Typical Power Device

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor 514 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO., JUNE 200 [7], On optimal board-level routing for FPGA-based logic emulation, IEEE Trans. Computer-Aided Design, vol.

More information

Four-Channel Sample-and-Hold Amplifier AD684

Four-Channel Sample-and-Hold Amplifier AD684 a FEATURES Four Matched Sample-and-Hold Amplifiers Independent Inputs, Outputs and Control Pins 500 ns Hold Mode Settling 1 s Maximum Acquisition Time to 0.01% Low Droop Rate: 0.01 V/ s Internal Hold Capacitors

More information

1 Introduction COPYRIGHTED MATERIAL

1 Introduction COPYRIGHTED MATERIAL Introduction The scaling of semiconductor process technologies has been continuing for more than four decades. Advancements in process technologies are the fuel that has been moving the semiconductor industry.

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

THE FIELDS OF ELECTRONICS

THE FIELDS OF ELECTRONICS THE FIELDS OF ELECTRONICS THE FIELDS OF ELECTRONICS Understanding Electronics Using Basic Physics Ralph Morrison A Wiley-Interscience Publication JOHN WILEY & SONS, INC. This book is printed on acid-free

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

High-Speed Circuit Board Signal Integrity

High-Speed Circuit Board Signal Integrity High-Speed Circuit Board Signal Integrity For a listing of recent titles in the Artech House Microwave Library, turn to the back of this book. High-Speed Circuit Board Signal Integrity Stephen C. Thierauf

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Tradeoffs and Optimization in Analog CMOS Design

Tradeoffs and Optimization in Analog CMOS Design Tradeoffs and Optimization in Analog CMOS Design David M. Binkley University of North Carolina at Charlotte, USA A John Wiley & Sons, Ltd., Publication Contents Foreword Preface Acknowledgmerits List of

More information

24. Custom Integrated Circuits

24. Custom Integrated Circuits 159 24. Academic and Research Staff Prof. J. Allen, Prof. L.A. Glasser, Prof. P. Penfield, Prof. R.L. Rivest, Prof. G.J. Sussman, Dr. G.E. Kopec, Dr. H. Shrobe Jr. Graduate Students R. Armstrong, I. Bain,

More information