Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well CMOS

Size: px
Start display at page:

Download "Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well CMOS"

Transcription

1 ESREF th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well CMOS J.-M. Dutertre 1, R. Possamai Bastos 2, O. Potin 3, M.L. Flottes 3, B. Rouzeyre 3, G. Di Natale 3, A. Sarafianos 4 Sept. 29 th oct. 2 nd 2014 Berlin Germany 1: ENSM.SE - Centre Microélectronique de Provence, Gardanne, France 2: TIMA Univ. Grenoble Alpes, CNRS, TIMA, F Grenoble, France 3: LIRMM (CNRS UMR N5506), Montpellier, France 4: STMicroelectronics, Rousset, France

2 Outline I. Introduction SET mechanism, BBICS principle II. Zhang et al. BBICS architecture Experimental results & unexpected weakness III. Analysis Experimental measurements Improving BBICS efficiency: use of triple-well CMOS IV. Validation A new BBICS design Simulation results 2 / 20

3 I. Introduction! Integrated circuits in radioactive environment " Suffer from various types of Single Event Effects (SEE) - Single Event Transient (SET), - Single Event Upset (SEU), - Single Event Latchup (destructive) - Single Event Gate Rupture, etc.! Bulk Built-In Current Sensor (BBICS) " Design to monitor the advent of SETs and SEUs " Not to prevent their effects 3 / 20

4 I. Introduction! SET mechanism The inverter case in 0 Metal 1 MOS gate out 1 C " to Gnd to Vdd N+ N+ N+ PTAP NMOS sensitive PN junction PMOS N well Sensitive area: reverse biased PN junction (drain of the off transistor) 4 / 20

5 I. Introduction! SET mechanism The inverter case ionizing ion track in 0 Metal 1 MOS gate out 1 C " to Gnd to Vdd N+ N+ N+ PTAP NMOS PMOS N well Sensitive area: reverse biased PN junction (drain of the off transistor) 4 / 20

6 I. Introduction! SET mechanism The inverter case ionizing ion track in 0 Metal 1 MOS gate out 1 => 0 C " to Gnd to Vdd N+ N+ N+ PTAP NMOS PMOS N well SEE current flows through substrate and its biasing TAPs 4 / 20

7 I. Introduction! BBICS principle: monitoring bulk currents in 0 Metal 1 MOS gate out 1 C " to Gnd to Vdd N+ N+ N+ PTAP NMOS PMOS N well SEE current flows through substrate and its biasing TAPs 5 / 20

8 I. Introduction! BBICS principle: monitoring bulk currents to Gnd in 0 Metal 1 MOS gate BBICS out 1 C " NMOS_bulk to Vdd N+ N+ N+ PTAP NMOS PMOS N well BBICS: monitor SEE current through node NMOS_bulk 5 / 20

9 I. Introduction! BBICS principle: monitoring bulk currents to Gnd in 0 Metal 1 MOS gate BBICS out 1 C " NMOS_bulk to Vdd N+ N+ N+ PTAP NMOS PMOS N well BBICS: monitor SEE current through node NMOS_bulk 5 / 20

10 I. Introduction! BBICS principle: monitoring bulk currents to Gnd alarm flag in 0 Metal 1 MOS gate BBICS out 1 C " NMOS_bulk to Vdd N+ N+ N+ NTAP NMOS PMOS N well BBICS: monitor SEE current through node NMOS_bulk 5 / 20

11 II. Zhang et al. BBICS architecture! Zhang et al. BBICS architecture [zhang2010] " Published in 2010, experimental validation reported in 2013 NMOS monitoring less effective than expected?! Vdd reset Tr Vdd NMOS_bulk 1 => 0 T1 outn_bar outn T3 0 => 1 NMOS_bulk Vdd T2 Gnd NMOS-BVIS Gnd 6 / 20

12 II. Zhang et al. BBICS architecture " NMOS-BVIS : NMOS monitoring / PMOS-BVIS : PMOS monitoring Vdd reset Tr Vdd NMOS_bulk 1 => 0 T1 outn_bar outn T3 0 => 1 NMOS_bulk Vdd T2 Gnd NMOS-BVIS Gnd N+ N+ N+ PMOS_bulk PMOS-BVIS NMOS PMOS N well 7 / 20

13 II. Zhang et al. BBICS architecture " Experimental testing of NMOS-/PMOS-BVIS [zhang2013] Test chip CMOS bulk 90 nm: many instances of NMOS-/PMOS-BVIS, multiplier as a test element. PMOS-BVIS NMOS-BVIS PMOS-BVIS NMOS-BVIS vdd NTAP biasing PTAP biasing multiplier std cells gnd 8 / 20

14 II. Zhang et al. BBICS architecture " Experimental testing of NMOS-/PMOS-BVIS [zhang2013] Ionizing particle effect emulation with a laser source: - λ = 800 nm, laser spot Ø = 1.6 µm, pulse duration = 1 ps # of biasing contact (NTAPs or PTAPs) Multiplier SEE sensitivity threshold 82 pj 82 pj 82 pj 82 pj PMOS-BVIS detection threshold 15 pj 42 pj 75 pj n.d. NMOS-BVIS detection threshold 110 pj 149 pj n.d. n.d. n.d. = not detected PMOS monitoring: efficient up to 80 biasing contacts NMOS monitoring: inefficient SEE sensitivity always < BBICS detection threshold 9 / 20

15 III. Analysis! Experimental measurements " Understanding SEE currents in NMOS/PMOS Test chip: CMOS 90 nm, bulk and triple-well, single NMOS and PMOS Experiment settings: measure of laser-induced currents at λ = 1064 nm, laser spot Ø = 5 µm, pulse duration = 20 µs, 1.25 W (settings outside ionizing particle emulation) EMSE laser facility 10 / 20

16 ! Experimental measurements " Understanding SEE currents in NMOS/PMOS III. Analysis Test chip: CMOS 90 nm, bulk and triple-well, single NMOS and PMOS Experiment settings: measure of laser-induced currents at λ = 1064 nm, laser spot Ø = 5 µm, pulse duration = 20 µs, 1.25 W (settings outside ionizing particle emulation) NMOS current: B (gnd) D (1.2V) G S 2.5 ma N+ N+ NMOS laser beam 10 / 20

17 III. Analysis PMOS current: Psub bias (floating) S G D (gnd) B (1.2V) N+ Psub-Nwell junction unbiased PMOS 1.9 ma N well laser beam Psub bias (gnd) S G D (gnd) B (1.2V) Psub-Nwell junction reverse biased N+ PMOS 200 µa 8 ma N well laser beam 11 / 20

18 III. Analysis Analysis: Bulk current an order of magnitude above drain current Promote detection over SEE generation Drain current contributing to SEE 200 µa Psub bias (gnd) S Bulk current (PMOS-BBICS monitored) 8 ma G D (gnd) B (1.2V) N+ PMOS 200 µa 8 ma laser beam N well 12 / 20

19 III. Analysis! Improving BBICS efficiency " Use of triple-well CMOS NMOS in Pwell to mimic PMOS properties G S D (1.2V) B (gnd) DNwell bias (1.2V) Nwell N+ N+ NMOS Pwell DNwell (grounded) N+ Nwell 13 / 20

20 III. Analysis! Improving BBICS efficiency " Use of triple-well CMOS NMOS in Pwell to mimic PMOS properties NMOS current: G S D (1.2V) B (gnd) DNwell bias (floating) Nwell N+ N+ NMOS 2.3 ma DNwell Pwell N+ Nwell Pwell-DNwell junction unbiased laser beam 13 / 20

21 " Use of triple-well CMOS Pwell-DNwell junction NMOS current: reverse biased Drain current contributing to SEE 200 µa G S D (1.2V) B (gnd) DNwell bias (1.2V) III. Analysis Bulk current (NMOS-BBICS monitored) 6 ma Nwell N+ N+ NMOS Pwell DNwell laser beam 200 µa 6 ma N+ Nwell Bulk current an order of magnitude above drain current Promote detection over SEE generation 14 / 20

22 ! Single BBICS architecture Design of a test chip: CMOS 65-nm (bulk and triple-well) IV. Validation New BBICS architecture: the single BBICS (design to bring together NMOS and PMOS monitoring ability) to PMOS bulk reset HVT HVT NMOS_bulk Vdd out_d Mn4 Gnd Mn_reset Gnd to NMOS bulk LVT Mn3 Gnd outb LVT HVT Vdd Mn1 Gnd 1 => 0 Mp1 Mn_t Vdd out_d outb_d Gnd Mp_t Vdd Mp2 Mn2 Gnd HVT LVT out Vdd Mp3 0 => 1 resetb LVT Vdd Mp4 HVT HVT Gnd PMOS_bulk Vdd Mp_reset outb_d 15 / 20

23 IV. Validation " Validation on the basis of simulation Post-layout electrical simulation (parasitic R and C extracted) Current source to model SEE currents: current pulse, double exponent, 50 ps rise time, 150 ps fall time, µa range Investigation of SEE and BBICS detection thresholds PMOS_bulk single BBICS MOS_bulk 40 inverters 40 inverters 16 / 20

24 IV. Validation " Validation on the basis of simulation 1. CMOS bulk (NMOS on Psub, ie. no triple-well) # of inverters Threshold of NMOS SET 102 µa 104 µa 104 µa 104 µa Single BBICS detection threshold 56 µa 82 µa 107 µa 155 µa Threshold of PMOS SET 126 µa 131 µa 134 µa 137 µa corresp. PMOS bulk current >1.2mA >1.3mA >1.3mA >1.3mA Single BBICS detection threshold 45 µa 67 µa 107 µa 134 µa NMOS monitoring weakness confirmed on the basis of simulation 17 / 20

25 IV. Validation " Validation on the basis of simulation 2. Triple-well CMOS (NMOS in Pwell) # of inverters Threshold of NMOS SET 94 µa 95 µa 98 µa 100 µa corresp. NMOS bulk current >0.9mA >0.9mA >0.9mA >1 ma Single BBICS detection threshold 75 µa 112 µa 147 µa 212 µa Threshold of PMOS SET 118 µa 125 µa 127 µa 130 µa corresp. PMOS bulk current >1.1mA >1.2mA >1.2mA >1.3mA Single BBICS detection threshold 84 µa 125 µa 163 µa 237 µa Triple-well restore NMOS monitoring ability of BBICS 18 / 20

26 IV. Conclusion! Review of BBICS principle " Exp. revealed a weakness in monitoring NMOS! Analysis and proposal of a solution " Use of triple-well CMOS (NMOS in Pwells) " Validation on simulation basis (new BBICS architecture)! Perspectives " Experiments on a CMOS 65-nm test chip 19 / 20

27 Thank you for your attention [zhang2010], Zhang et al., CCECE 2010 A new bulk built-in current sensing circuit for single-event transient detection [zhang2013], Zhang et al., Journal of electronic testing 2013 A Bulk Built-In Voltage Sensor to Detect Physical Location of Single-Event Transients 20 / 20

Laser attacks on integrated circuits: from CMOS to FD-SOI

Laser attacks on integrated circuits: from CMOS to FD-SOI DTIS 2014 9 th International Conference on Design & Technology of Integrated Systems in Nanoscale Era Laser attacks on integrated circuits: from CMOS to FD-SOI J.-M. Dutertre 1, S. De Castro 1, A. Sarafianos

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

Electrical model of an NMOS body biased structure in triple-well technology under photoelectric laser stimulation

Electrical model of an NMOS body biased structure in triple-well technology under photoelectric laser stimulation Electrical model of an NMOS body biased structure in triple-well technology under photoelectric laser stimulation N Borrel, C Champeix, M Lisart, A Sarafianos, E Kussener, W Rahajandraibe, Jean-Max Dutertre

More information

Influence of triple-well technology on laser fault injection and laser sensor efficiency

Influence of triple-well technology on laser fault injection and laser sensor efficiency Influence of triple-well technology on laser fault injection and laser sensor efficiency Nicolas Borrel, Clément Champeix, Edith Kussener, Wenceslas Rahajandraibe, M. Lisart, Alexandre Sarafianos, Jean-Max

More information

Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated. circuits

Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated. circuits Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated circuits Stephan De Castro, Jean-Max Dutertre, Giorgio Di Natale, Marie-Lise Flottes, Bruno Rouzeyre

More information

Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology

Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology Alexandre Sarafianos, Olivier Gagliano, Valérie Serradeil, Mathieu Lisart, Jean-Max

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology

Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology Building the electrical model of the pulsed photoelectric laser stimulation of an NMOS transistor in 90nm technology A Sarafianos, R Llido, O Gagliano, V Serradeil, Mathieu Lisart, V. Goubier, Jean-Max

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) Introduction about IC Immunity Testing 1-5 -1 Agenda 1-5 -2 Semiconductor Immunity Test ESD ( ) Chip level test Human Body Mode MIL-STD 883E method 3015.7 or EIA/JESD

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

MOS Transistor Theory

MOS Transistor Theory MOS Transistor Theory So far, we have viewed a MOS transistor as an ideal switch (digital operation) Reality: less than ideal EE 261 Krish Chakrabarty 1 MOS Transistor Theory Study conducting channel between

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS P. MARTIN-GONTHIER, F. CORBIERE, N. HUGER, M. ESTRIBEAU, C. ENGEL,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Lecture 10: Accelerometers (Part I)

Lecture 10: Accelerometers (Part I) Lecture 0: Accelerometers (Part I) ADXL 50 (Formerly the original ADXL 50) ENE 5400, Spring 2004 Outline Performance analysis Capacitive sensing Circuit architectures Circuit techniques for non-ideality

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT)

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT) International Journal of Reconfigurable and Embedded Systems (IJRES) Vol. 2, No. 1, March 2013, pp. 49~54 ISSN: 2089-4864 49 New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS International Conference on Mathematics, Modelling, Simulation and Algorithms (MMSA 8) The Influence of the Distance between the Strike Location and the Drain on 9nm Dual-Well Bulk CMOS Qiqi Wen and Wanting

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Single-Photon and Two-Photon correlation case study on digital devices

Single-Photon and Two-Photon correlation case study on digital devices OPTICAL AND ELECTRONIC SOLUTIONS FOR TESTING AND FAILURE ANALYSIS Single-Photon and Two-Photon correlation case study on digital devices Sébastien Jonathas PULSCAN sebastien.jonathas@pulscan.com Outline

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Monolithic Pixel Detector in a 0.15µm SOI Technology

Monolithic Pixel Detector in a 0.15µm SOI Technology Monolithic Pixel Detector in a 0.15µm SOI Technology 2006 IEEE Nuclear Science Symposium, San Diego, California, Nov. 1, 2006 Yasuo Arai (KEK) KEK Detector Technology Project : [SOIPIX Group] Y. Arai Y.

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.401 ISSN(Online) 2233-4866 Structure Optimization of ESD Diodes for

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates.

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. T. Krupkina, D. Rodionov, A. Nikolaev. Moscow State Institute of Electronic Technics (Technical University)

More information

Laser tests of Wide Band Gap power devices. Using Two photon absorption process

Laser tests of Wide Band Gap power devices. Using Two photon absorption process Laser tests of Wide Band Gap power devices Using Two photon absorption process Frederic Darracq Associate professor IMS, CNRS UMR5218, Université Bordeaux, 33405 Talence, France 1 Outline Two-Photon absorption

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Basic digital logic functions and gates

Basic digital logic functions and gates Basic digital logic functions and gates Digital logic functions and gates are the main blocks behind digital logic design. s and 1s combine to produce values that are generated by basic gates such as NOT,

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang.

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang. IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES By Hangfang Zhang Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1 CMOS Transistor and Circuits Jan 2015 CMOS Transistor 1 Latchup in CMOS Circuits Jan 2015 CMOS Transistor 2 Parasitic bipolar transistors are formed by substrate and source / drain devices Latchup occurs

More information

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET

An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET An SOI-based High-Voltage, High-Temperature Gate-Driver for SiC FET M. A Huque 1, R. Vijayaraghavan 1, M. Zhang 1, B. J. Blalock 1, L M. Tolbert 1,2, and S. K. Islam 1 1 Department of Electrical and Computer

More information

HW#3 Solution. Dr. Parker. Spring 2014

HW#3 Solution. Dr. Parker. Spring 2014 HW#3 olution r. Parker pring 2014 Assume for the problems below that V dd = 1.8 V, V tp0 is -.7 V. and V tn0 is.7 V. V tpbodyeffect is -.9 V. and V tnbodyeffect is.9 V. Assume ß n (k n )= 219.4 W/L µ A(microamps)/V

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

Metal-Oxide-Silicon (MOS) devices PMOS. n-type

Metal-Oxide-Silicon (MOS) devices PMOS. n-type Metal-Oxide-Silicon (MOS devices Principle of MOS Field Effect Transistor transistor operation Metal (poly gate on oxide between source and drain Source and drain implants of opposite type to substrate.

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

First Results of 0.15µm CMOS SOI Pixel Detector

First Results of 0.15µm CMOS SOI Pixel Detector First Results of 0.15µm CMOS SOI Pixel Detector Y. Arai, M. Hazumi, Y. Ikegami, T. Kohriki, O. Tajima, S. Terada, T. Tsuboyama, Y. Unno, H. Ushiroda IPNS, High Energy Accelerator Reserach Organization

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

Introduction to VLSI design using Cadence Electronic Design Automation Tools

Introduction to VLSI design using Cadence Electronic Design Automation Tools Bangladesh University of Engineering & Technology Department of Electrical & Electronic Engineering Introduction to VLSI design using Cadence Electronic Design Automation Tools Laboratory Module 4: Layout

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

EE5320: Analog IC Design

EE5320: Analog IC Design EE5320: Analog IC Design Handout 3: MOSFETs Saurabh Saxena & Qadeer Khan Indian Institute of Technology Madras Copyright 2018 by EE6:Integrated Circuits & Systems roup @ IIT Madras Overview Transistors

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Development of custom radiation-tolerant DCDC converter ASICs

Development of custom radiation-tolerant DCDC converter ASICs Development of custom radiation-tolerant DCDC converter ASICs F.Faccio 1, S.Michelis 1,2, G.Blanchot 1, S.Orlandi 1, C.Fuentes 1,3, B.Allongue 1, S.Saggini 4, F.Ongaro 4 1 CERN, PH dept, ESE group, Geneva,

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC Yogesh Kumar M. Tech DCRUST (Sonipat) ABSTRACT: The fast growing electronics industry is pushing towards high speed low power analog to digital

More information

CHARACTERIZATION OF HEAVY-ION INDUCED SINGLE EVENT. TRANSIENTS IN 32nm AND 45nm SILICON-ON-INSULATOR TECHNOLOGIES. Jeffrey Alan Maharrey.

CHARACTERIZATION OF HEAVY-ION INDUCED SINGLE EVENT. TRANSIENTS IN 32nm AND 45nm SILICON-ON-INSULATOR TECHNOLOGIES. Jeffrey Alan Maharrey. CHARACTERIZATION OF HEAVY-ION INDUCED SINGLE EVENT TRANSIENTS IN 32nm AND 45nm SILICON-ON-INSULATOR TECHNOLOGIES By Jeffrey Alan Maharrey Thesis Submitted to the Faculty of the Graduate School of Vanderbilt

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Picosecond Laser Stimulation status, applications & challenges

Picosecond Laser Stimulation status, applications & challenges Picosecond Laser Stimulation status, applications & challenges Vincent POUGET IMS, University of Bordeaux, Talence, France Laboratoire de l Intégration, du Matériau au Système CNRS UMR 5218 Outline Picosecond

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

A Wordline Voltage Management for NOR Type Flash Memories

A Wordline Voltage Management for NOR Type Flash Memories A Wordline Voltage Management for NOR Type Flash Memories Student Name: Rohan Sinha M.Tech-ECE-VLSI Design & Embedded Systems-12-13 May 28, 2014 Indraprastha Institute of Information Technology, New Delhi

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

8. Characteristics of Field Effect Transistor (MOSFET)

8. Characteristics of Field Effect Transistor (MOSFET) 1 8. Characteristics of Field Effect Transistor (MOSFET) 8.1. Objectives The purpose of this experiment is to measure input and output characteristics of n-channel and p- channel field effect transistors

More information

ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION. Lakshmi Deepika Tekumala. Thesis. Submitted to the Faculty of the

ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION. Lakshmi Deepika Tekumala. Thesis. Submitted to the Faculty of the ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION By Lakshmi Deepika Tekumala Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment of the requirements

More information

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55

A flexible compact readout circuit for SPAD arrays ABSTRACT Keywords: 1. INTRODUCTION 2. THE SPAD 2.1 Operation 7780C - 55 A flexible compact readout circuit for SPAD arrays Danial Chitnis * and Steve Collins Department of Engineering Science University of Oxford Oxford England OX13PJ ABSTRACT A compact readout circuit that

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam Georgia Institute of Technology School of Electrical and Computer Engineering Midterm Exam ECE-3400 Fall 2013 Tue, September 24, 2013 Duration: 80min First name Solutions Last name Solutions ID number

More information

IN NANOSCALE CMOS technology, the gate oxide thickness

IN NANOSCALE CMOS technology, the gate oxide thickness 3456 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 12, DECEMBER 2012 Resistor-Less Design of Power-Rail ESD Clamp Circuit in Nanoscale CMOS Technology Chih-Ting Yeh, Student Member, IEEE, and Ming-Dou

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Detection of Transient Faults in Nanometer Technologies by using Modular Built-In Current Sensors

Detection of Transient Faults in Nanometer Technologies by using Modular Built-In Current Sensors Detection of Transient Faults in Nanometer Technologies by using Modular Built-In Current Sensors Frank Sill Torres 1 and Rodrigo Possamai Bastos 2,3 1Dept. of Electronic Engineering, Federal University

More information