Co Capping Layers for Cu/Low-k Interconnects

Size: px
Start display at page:

Download "Co Capping Layers for Cu/Low-k Interconnects"

Transcription

1 IBM Research Co Capping Layers for /Low-k Interconnects Chih-Chao Yang IBM Co-Authors: International Business Machines Corp. P. Flaitz, B. Li, F. Chen, C. Christiansen, and D. Edelstein Applied Materials Inc. S.-Y. Lee, P. Ma 2010 IBM Corporation

2 Practical Points Dielectric capping layer Continual scaling of interconnect dimension Dielectric capping layer Low-k Barrier rrent density increase Low-k Risk of Electromigration (EM) Failure! / Dielectric capping layer interface Dominates diffusion path Weakest site in resisting EM failure Metallic capping layer Slows the diffusion Enhances EM resistance Dielectric Metallic 2 AMC, Albany, NY, Oct. 5-7, IBM Corporation

3 Metallic capping layer Low-k Barrier Practical Points Metal cap on interconnects to reduce transport and void growth- tradeoff b/w EM improvement and resistivity increase. Additional liabilities: line-to-line leakages, yield degradation, capacitance increases. Example: Implementation of a selective metal cap via electroless deposition technique Prevents contamination of dielectric surface from plating bath line-to-line leakages, yield degradation, other reliability related issues, impact on overall interconnect capacitance. Adds processing steps- Pre-/ Post-cleans Increased wafer process cost 3 AMC, Albany, NY, Oct. 5-7, IBM Corporation

4 Introduction Experimental Data - Selectivity Check - TEM Analysis Outline - Electrical Measurements - Reliability Summary 4 AMC, Albany, NY, Oct. 5-7, IBM Corporation

5 Background CVD Co Process Alternate liner approach in BEOL *, technology extendibility Reliable liner layer for -low k integration Selective metal cap in advanced / Low-k interconnects interconnect EM enhancement No undesirable impact on leakages, yields, electrical resistance * T. Nogami, et al., IEEE Int. Interconnect Technology Conf., AMC, Albany, NY, Oct. 5-7, IBM Corporation

6 Experimental Details Blanket wafers: selectivity of CVD Co on vs. low-k dielectrics Patterned wafers: electrical and reliability evaluations Liner/ Seed: 300mm platform: degas, Ar + sputter, PVD TaN, and PVD seed. Conventional electroplating and CMP Selective CVD Co cap layer on exposed interconnects Blanket NBLoK (SiC x N y H z ) dielectric capping layer TEM analysis on patterned wafers Feasibility of the CVD Co cap in the /low-k system: Inline parametric measurements, electromigration (EM) and time-dependent-dielectric-breakdown (TDDB) tests. 6 AMC, Albany, NY, Oct. 5-7, IBM Corporation

7 Selectivity Check X-Ray Fluorescence (XRF) spectroscopy - selectivity of the CVD Co deposition process between and low-k dielectric blanket substrates Deposit Co Thickness [A] Co on and dielectrics Deposit Time k1~2.7 k2~2.4 k3~2.2 Higher Co deposition rate on than on dielectrics, No obvious deposition rate difference observed among the dielectrics. Observed deposited Co thickness difference is attributed to the result of different incubation time exhibited between and dielectric substrates. 7 AMC, Albany, NY, Oct. 5-7, IBM Corporation

8 TEM Analysis Co selectivity check- intra-line/ dielectric surface Scanning Transmission electron microscopy (STEM) image and energy dispersive X-ray spectroscopy (EDX) analysis result. NBLoK Co ~1.8nm from 2x process time Confirmation of selective Co deposition on surface with no detectable nucleation on the exposed dielectric surface 8 AMC, Albany, NY, Oct. 5-7, IBM Corporation

9 NBLoK /Co/ interfacial properties Energy dispersive X-ray (EDX) electron energy-loss spectroscopy (EELS) profiles. Co NBLoK (SiC x N y H z ) Co NBLoK O - A small amount of O at the NBLoK/Co interface within the NBLoK. - The amount of O is in the range of that normally detected for NBLoK cap interfaces. - No detectable O is observed at the Co/ interface. 9 AMC, Albany, NY, Oct. 5-7, IBM Corporation

10 Electrical Measurements Patterned wafers: 32nm CMOS test vehicles consisting of one single damascene M1, four dual damascene Mx levels, and one Al termination level. After M2 polish, some wafers were selectively capped with various amount of CVD Co. Line-to-line leakage/yield measurements Leakage rrent [A] Leakage rrent Yield CVD Co Process Time Yield [%] Leakage currents increases and yields decreases with increasing the process time. No leakage current increase or yield degradation are observed on 2x and 4x process time splits. Complete selectivity is NOT observed when the process time is up to 6x, which is an indication of Co nucleation on intra-line dielectric surface. 10 AMC, Albany, NY, Oct. 5-7, IBM Corporation

11 Electrical Measurements Final parametric open/ short measurements- post Al termination level Comb-Serpentine Yield Yield Links of Via Chain Yield Yield Final M2 parametric yield measurements after additional 3 dual damascene and 1 Al termination levels. No line-to-line leakage current increase observed after next level process for both control and Co wafers. No open/short yield degradation observed at both the defect monitor structures. Demonstration of stable parametric yield prior and post CVD Co metal cap deposition 11 AMC, Albany, NY, Oct. 5-7, IBM Corporation

12 Electrical Measurements Resistance comparison between at-level and final measurements Line-Res Kevin Via-Res No line resistance increase observed due to the CVD Co cap process- an indication of very limited reaction between Co cap and interconnect. No Kelvin via resistance observed- supporting good thermal stability of the Co capped interconnect. 12 AMC, Albany, NY, Oct. 5-7, IBM Corporation

13 Reliability Test- EM Electromigration (25 ma/um 2 at 345 o C) Structure- dual damascene multi-level via-line Electron flow: single contact to 50nm wide line located below Failure criterion: 10% resistance increase Failure distribution: Lognormal Obvious EM resistance enhancement for Co-capped interconnects 13 AMC, Albany, NY, Oct. 5-7, IBM Corporation

14 Reliability Test- TDDB Module-level time-dependent dielectric breakdown (TDDB) test comb- serpentine structure with ~60 nm spacing Multiple constant electrical biases at 120 o C Failure criterion: leakage current increased by an order of magnitude Control No Co Co-4x Co-2x At high V, Co-4x shows the longest time-tobreakdown (tbd) and Co-2x shows the shortest tbd. Co-4x > Control > Co-2x Voltage acceleration factors (which are the slopes of lines) are in favor of the Co-2x. Co-2x > Control > Co-4x At low V, the three processes show very compatible tbd. Co-2x ~ Control ~ Co-4x No TDDB performance degradation observed from the Co cap process. 14 AMC, Albany, NY, Oct. 5-7, IBM Corporation

15 Conclusions A selective CVD Co cap deposition process was investigated for /low-k integration. Enhancement of interconnect electromigration resistance through this approach was demonstrated with supporting parametric measurements. This Co-capped interconnect structure also shows good thermal stability, which is a critical reliability requirement. This selective CVD Co cap process is a good candidate for reliable BEOL /low-k integration. 15 AMC, Albany, NY, Oct. 5-7, IBM Corporation

16 Acknowledgements This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities. 16 AMC, Albany, NY, Oct. 5-7, IBM Corporation

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION INTERCONNECT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process

Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Plasma Charging Damage Induced by a Power Ramp Down Step in the end of Plasma Enhanced Chemical Vapour Deposition (PECVD) Process Zhichun Wang 1,3, Jan Ackaert 2, Cora Salm 1, Fred G. Kuper 1,3, Klara

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers Negin Golshani, Vahid Mohammadi, Siva Ramesh, Lis K. Nanver Delft University of Technology The Netherlands ESSDERC

More information

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON 1 SUNITHA HD, 2 KESHAVENI N 1 Asstt Prof., Department of Electronics Engineering, EPCET, Bangalore 2 Prof., Department of Electronics

More information

HipoCIGS: enamelled steel as substrate for thin film solar cells

HipoCIGS: enamelled steel as substrate for thin film solar cells HipoCIGS: enamelled steel as substrate for thin film solar cells Lecturer D. Jacobs*, Author S. Efimenko, Co-author C. Schlegel *:PRINCE Belgium bvba, Pathoekeweg 116, 8000 Brugge, Belgium, djacobs@princecorp.com

More information

Deprocessing and defect analysis of GaN/AlGaN HEMTs. Patrick Whiting, Ray Holzworth Dr. Nicholas Rudawski, and Dr. Kevin Jones

Deprocessing and defect analysis of GaN/AlGaN HEMTs. Patrick Whiting, Ray Holzworth Dr. Nicholas Rudawski, and Dr. Kevin Jones Deprocessing and defect analysis of GaN/AlGaN HEMTs Patrick Whiting, Ray Holzworth Dr. Nicholas Rudawski, and Dr. Kevin Jones Scientific Approach FLOORS TEM SEM SEM LEAP t=0, As Built TEM OSTS Gate Metal

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

As Semiconductor Devices Shrink so do their Reliability and Lifetimes

As Semiconductor Devices Shrink so do their Reliability and Lifetimes As Semiconductor Devices Shrink so do their Reliability and Lifetimes National Software and Airborne Electronic Hardware Standardization Conference August 20-21 Denver, CO Lloyd Condra, Boeing Gary Horan,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Dopant profiling and surface analysis of silicon nanowires using capacitance-voltage measurements Erik C. Garnett 1, Yu-Chih Tseng 4, Devesh Khanal 2,3, Junqiao Wu 2,3, Jeffrey

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Processing and Reliability Issues That Impact Design Practice. Overview

Processing and Reliability Issues That Impact Design Practice. Overview Lecture 15 Processing and Reliability Issues That Impact Design Practice Zongjian Chen Zongjian_chen@yahoo.com Copyright 2004 by Zongjian Chen 1 Overview As a maturing industry, semiconductor food chain

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires Paola Perez Mentor: Feng Wen PI: Emanuel Tutuc Background One-dimensional semiconducting nanowires

More information

Supplementary Information: Nanoscale. Structure, Dynamics, and Aging Behavior of. Metallic Glass Thin Films

Supplementary Information: Nanoscale. Structure, Dynamics, and Aging Behavior of. Metallic Glass Thin Films Supplementary Information: Nanoscale Structure, Dynamics, and Aging Behavior of Metallic Glass Thin Films J.A.J. Burgess,,, C.M.B. Holt,, E.J. Luber,, D.C. Fortin, G. Popowich, B. Zahiri,, P. Concepcion,

More information

Ridgetop Group, Inc.

Ridgetop Group, Inc. Ridgetop Group, Inc. Ridgetop Group Facilities in Tucson, AZ Arizona-based firm, founded in 2000, with focus on electronics for critical applications Two divisions: Semiconductor & Precision Instruments

More information

Issue 89 November 2016

Issue 89 November 2016 Voltage Contrast Part 1 By Christopher Henderson In this presentation, we discuss voltage contrast, one of a number of techniques that use scanning electron microscopy to aid in fault isolation. Voltage

More information

Issue 111 September 2018

Issue 111 September 2018 Latch-Up Overview Part 1 By Christopher Henderson In this section, we will discuss the topic of latch-up. Latch-up is a form of electrical overstress that results in malfunction of the circuit, but may

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter

Novel SiC Junction Barrier Schottky Diode Structure for Efficiency Improvement of EV Inverter EVS28 KINTEX, Korea, May 3-6, 2015 Novel SiC Junction Barrier Schottky iode Structure for Efficiency Improvement of EV Inverter ae Hwan Chun, Jong Seok Lee, Young Kyun Jung, Kyoung Kook Hong, Jung Hee

More information

Design and Performance of a Pinned Photodiode CMOS Image Sensor Using Reverse Substrate Bias

Design and Performance of a Pinned Photodiode CMOS Image Sensor Using Reverse Substrate Bias Design and Performance of a Pinned Photodiode CMOS Image Sensor Using Reverse Substrate Bias 13 September 2017 Konstantin Stefanov Contents Background Goals and objectives Overview of the work carried

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Fault Diagnosis Algorithms Part 2

Fault Diagnosis Algorithms Part 2 Fault Diagnosis Algorithms Part 2 By Christopher Henderson Page 1 Fault Diagnosis Algorithms Part 2 Page 5 Technical Tidbit Page 8 Ask the Experts Figure 4. Circuit schematic. This is an example of a circuit

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

(12) United States Patent (10) Patent No.: US 6,211,068 B1

(12) United States Patent (10) Patent No.: US 6,211,068 B1 USOO6211068B1 (12) United States Patent (10) Patent No.: US 6,211,068 B1 Huang (45) Date of Patent: Apr. 3, 2001 (54) DUAL DAMASCENE PROCESS FOR 5,981,377 * 11/1999 Koyama... 438/633 MANUFACTURING INTERCONNECTS

More information

Microstrip Filter Design

Microstrip Filter Design Practical Aspects of Microwave Filter Design and Realization IMS 5 Workshop-WMB Microstrip Filter Design Jia-Sheng Hong Heriot-Watt University Edinburgh, UK Outline Introduction Design considerations Design

More information

3D Si Interposer Design and Electrical Performance Study

3D Si Interposer Design and Electrical Performance Study DesignCon 2013 3D Si Interposer Design and Electrical Performance Study Mandy (Ying) Ji, Rambus Inc. Ming Li, Rambus Inc. Julia Cline, Rambus Inc. Dave Secker, Rambus Inc. Kevin Cai, Rambus Inc. John Lau,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

INTERCONNECT 2003 EDITION

INTERCONNECT 2003 EDITION I NTERNATIONAL TECHNOLOGY R OADMAP FOR SEMICONDUCTORS 2003 EDITION INTERCONNECT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

GaN Based Power Conversion: Moving On! Tim McDonald APEC Key Component Technologies for Power Electronics in Electric Drive Vehicles

GaN Based Power Conversion: Moving On! Tim McDonald APEC Key Component Technologies for Power Electronics in Electric Drive Vehicles 1 GaN Based Power Conversion: Moving On! Key Component Technologies for Power Electronics in Electric Drive Vehicles Tim McDonald APEC 2013 2 Acknowledgements Collaborators: Tim McDonald (1), Han S. Lee

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE

More information

Slot Antennas For Dual And Wideband Operation In Wireless Communication Systems

Slot Antennas For Dual And Wideband Operation In Wireless Communication Systems Slot Antennas For Dual And Wideband Operation In Wireless Communication Systems Abdelnasser A. Eldek, Cuthbert M. Allen, Atef Z. Elsherbeni, Charles E. Smith and Kai-Fong Lee Department of Electrical Engineering,

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

what is a multiplier? how does a multiplier work? common multiplier applications II. Assembly Type III. Other Design Concerns

what is a multiplier? how does a multiplier work? common multiplier applications II. Assembly Type III. Other Design Concerns SECTION 13 Multipliers VMI manufactures many high voltage multipliers, most of which are custom designed for specific requirements. The following information provides general information and basic guidance

More information

Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the

Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the Supplementary Figure S1 X-ray diffraction pattern of the Ag nanowires shown in Fig. 1a dispersed in their original solution. The wavelength of the x-ray beam was 0.1771 Å. The saturated broad peak and

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

A NEW TECHNIQUE TO RAPIDLY IDENTIFY LOW LEVEL GATE OXIDE LEAKAGE IN FIELD EFFECT SEMICONDUCTORS USING A SCANNING ELECTRON MICROSCOPE.

A NEW TECHNIQUE TO RAPIDLY IDENTIFY LOW LEVEL GATE OXIDE LEAKAGE IN FIELD EFFECT SEMICONDUCTORS USING A SCANNING ELECTRON MICROSCOPE. A NEW TECHNIQUE TO RAPIDLY IDENTIFY LOW LEVEL GATE OXIDE LEAKAGE IN FIELD EFFECT SEMICONDUCTORS USING A SCANNING ELECTRON MICROSCOPE. Jim Colvin Waferscale Integration Inc. 47280 Kato Rd. Fremont, CA 94538

More information

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing

Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing Hitachi Review Vol. 52 (2003), No. 3 125 Inspection-analysis Solutions for High-quality and High-efficiency Semiconductor Device Manufacturing Kenji Watanabe, Dr. Eng. Aritoshi Sugimoto Mari Nozoe OVERVIEW:

More information

Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1

Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1 Multi-Element Si Sensor with Readout ASIC for EXAFS Spectroscopy 1 Gianluigi De Geronimo a, Paul O Connor a, Rolf H. Beuttenmuller b, Zheng Li b, Antony J. Kuczewski c, D. Peter Siddons c a Microelectronics

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

GaSb based high power single spatial mode and distributed feedback lasers at 2.0 μm

GaSb based high power single spatial mode and distributed feedback lasers at 2.0 μm GaSb based high power single spatial mode and distributed feedback lasers at 2.0 μm Clifford Frez 1, Kale J. Franz 1, Alexander Ksendzov, 1 Jianfeng Chen 2, Leon Sterengas 2, Gregory L. Belenky 2, Siamak

More information

INTEGRATED CIRCUIT ENGINEERING

INTEGRATED CIRCUIT ENGINEERING INTEGRATED CIRCUIT ENGINEERING Basic Technology By the Stoff of Integraied Circuit Engineering Corporation, Phoenix, Arizona GLEN R. MADLAND ROBERT L. PRITCHARD HOWARD K. DICKEN FRANK H. BOWER ROBERT D.

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Exploration of Pinhole and Defect Density in Insulating Layer of Magnetic Tunnel Junctions

Exploration of Pinhole and Defect Density in Insulating Layer of Magnetic Tunnel Junctions Exploration of Pinhole and Defect Density in Insulating Layer of Magnetic Tunnel Junctions Rainer Schad, Drew Allen, Kether Mayen Giovanni Zangari, Iulica Zana, Dehua Yang University of Alabama Mark Tondra,

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Electrical Characterization of OLED s Using Solartron Instrumentation

Electrical Characterization of OLED s Using Solartron Instrumentation MTSAP2 Electrical Characterization of OLED s Using Solartron Instrumentation Introduction An OLED is a light emitting diode with an organic emissive electro-luminescent layer. The organic layer can be

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

Envisioning the Future of Optoelectronic Interconnects:

Envisioning the Future of Optoelectronic Interconnects: Envisioning the Future of Optoelectronic Interconnects: The Production Economics of InP and Si Platforms for 100G Ethernet LAN Transceivers Shan Liu Dr. Erica Fuchs Prof. Randolph Kirchain MIT Microphotonics

More information

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Alluxa Engineering Staff September 2012 0 1 0.1 1 cav 2 cav 3 cav 4 cav 5 cav 0.01 0.001 635 636 637 638 639

More information

Integrated Circuit Intrinsic Reliability

Integrated Circuit Intrinsic Reliability Integrated Circuit Intrinsic Reliability Dennis Eaton Agilent Technologies dennis_eaton@agilent.com IEEE Solid-State Circuits Society February 16, 2005 Dennis Eaton (c) IEEE SSC Society Feb. 16, 2005 1

More information

GST CMP BLANKET and TEST PATTERNED WAFERS

GST CMP BLANKET and TEST PATTERNED WAFERS C M P C h a r a c t e r I z a t I o n S o l u t I o n s GST CMP BLANKET and TEST PATTERNED WAFERS MARCH 20, 2009 PREPARED BY SOOKAP HAHN PRESIDENT SKW ASSOCIATES, INC. 2920 SCOTT BOULEVARD SANTA CLARA,

More information

3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials

3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials 3D and Aerosol Printed Conductor Dielectric Full- 3D RF Metamaterials June 22, 2017 Jimmy Hester, Evan Nguyen, Jesse Tice, and Vesna Radisic Approved for Public Release: NG17-1180, 6/2/17 Outline Introduction

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in The two-dimensional systems embedded in modulation-doped heterostructures are a very interesting and actual research field. The FIB implantation technique can be successfully used to fabricate using these

More information

Resonant Tunneling Device. Kalpesh Raval

Resonant Tunneling Device. Kalpesh Raval Resonant Tunneling Device Kalpesh Raval Outline Diode basics History of Tunnel diode RTD Characteristics & Operation Tunneling Requirements Various Heterostructures Fabrication Technique Challenges Application

More information

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS Yin-Po Hung, Tao-Chih Chang, Ching-Kuan Lee, Yuan-Chang Lee, Jing-Yao Chang, Chao-Kai Hsu, Shu-Man Li, Jui-Hsiung Huang, Fang-Jun

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit [Tutorial Paper] Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit Shuichi Kameyama*, Masayuki Baba*, Yoshinobu Higami**, and Hiroshi Takahashi**

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

Simulation of multi-junction compound solar cells. Copyright 2009 Crosslight Software Inc.

Simulation of multi-junction compound solar cells. Copyright 2009 Crosslight Software Inc. Simulation of multi-junction compound solar cells Copyright 2009 Crosslight Software Inc. www.crosslight.com 1 Introduction 2 Multi-junction (MJ) solar cells space (e.g. NASA Deep Space 1) & terrestrial

More information

Wideband Bow-Tie Slot Antennas with Tapered Tuning Stubs

Wideband Bow-Tie Slot Antennas with Tapered Tuning Stubs Wideband Bow-Tie Slot Antennas with Tapered Tuning Stubs Abdelnasser A. Eldek, Atef Z. Elsherbeni and Charles E. Smith. atef@olemiss.edu Center of Applied Electromagnetic Systems Research (CAESR) Department

More information

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode

The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode The impact of Triangular Defects on Electrical Characteristics and Switching Performance of 3.3kV 4H-SiC PiN Diode Yeganeh Bonyadi, Peter Gammon, Roozbeh Bonyadi, Olayiwola Alatise, Ji Hu, Steven Hindmarsh,

More information

Ultra-sensitive, room-temperature THz detector using nonlinear parametric upconversion

Ultra-sensitive, room-temperature THz detector using nonlinear parametric upconversion 15 th Coherent Laser Radar Conference Ultra-sensitive, room-temperature THz detector using nonlinear parametric upconversion M. Jalal Khan Jerry C. Chen Z-L Liau Sumanth Kaushik Ph: 781-981-4169 Ph: 781-981-3728

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Broadband Substrate to Substrate Interconnection

Broadband Substrate to Substrate Interconnection Progress In Electromagnetics Research C, Vol. 59, 143 147, 2015 Broadband Substrate to Substrate Interconnection Bo Zhou *, Chonghu Cheng, Xingzhi Wang, Zixuan Wang, and Shanwen Hu Abstract A broadband

More information

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Sheng Liu and I. Charles Ume* School of Mechanical Engineering Georgia Institute of Technology Atlanta, Georgia 3332 (44) 894-7411(P)

More information