Optical Interconnect to Chips

Size: px
Start display at page:

Download "Optical Interconnect to Chips"

Transcription

1 Optical Interconnect to Chips David A. B. Miller Stanford University 2/29/08 Computing of the Future, David A. B. Miller, Stanford 1

2 Summary Wiring, optics, and communicating information Electronics is remarkable, but wiring does not scale well Requirements on devices for optical interconnects Energies particularly challenging Silicon photonics technology Missing optical output device Germanium quantum well physics and devices New strong modulator mechanism for silicon systems Nanometallic antennas Concentrating light into deeply subwavelength devices Very small wavelength splitters? Fundamental limits to optical components Conclusions 2/29/08 Computing of the Future, David A. B. Miller, Stanford 2

3 Collaborators and Funding Collaborators Funding Stanford students Elizabeth Edwards, Onur Fidaner, Y. Ge, Martina Gerken, Yang Jiao, Ekin Kocabas, Yu-Hsuan Kuo, Salman Latif, Y.-K. Lee, Dany Ly- Gagnon, Ali Okyay, Joe Matteo, Bianca Nelson, Jon Roth, Rebecca Schaevitz, Shen Ren, Luke Tang, Y. Yuen Stanford faculty Others Intel Mark Brongersma, Shanhui Fan, Jim Harris, Bert Hesselink, Krishna Saraswat Ted Kamins (HP) FCRP/DARPA Interconnect Focus Center DARPA EPIC, UNIC, and Optocenters programs AFOSR Nanometallics MURI 2/29/08 Computing of the Future, David A. B. Miller, Stanford 3

4 Levels of interconnection Telecommunications Campus networks LANs 10,000 km 1000 km 1 km 100 m interconnect distance Optics currently dominates for long distance interconnects Increasingly, optics is used in local area network applications 2/29/08 Computing of the Future, David A. B. Miller, Stanford 4

5 Levels of interconnection Backplanes & board-to-board Chip-to-chip On-chip 1 m 10 cm 1 mm interconnect distance Electrical signaling within computers is encountering severe limitations itations -- can optics help at these length scales? 2/29/08 Computing of the Future, David A. B. Miller, Stanford 5

6 Wiring, Optics, and Communicating Information - Architectural Aspect Ratio Limit to Capacity l A this wire carries the same number of bits per second as this wire Get universal form of scaling for simple digital connections (no repeaters, no multilevel modem techniques) bit rate B A / l 2 B ~ A / l 2 bits/s for LC lines B ~ A / l 2 bits/s for RC lines B ~ A / l 2 bits/s for equalized LC lines Miller and Ozaktas (1997) Once the wiring fills all space, the capacity cannot be increased either by making the system smaller or making it larger Optics completely avoids this scaling limitation 2/29/08 Computing of the Future, David A. B. Miller, Stanford 6

7 Electrical Communication Energy Wires always have large capacitance per unit length and/or low impedancei (Lines on chips are anyway nearly always RC) Simple logic-level level signaling on-chip chip results in specific dissipation E.g., at 2pF/cm and a 2 cm chip, at 1 V on-off off signaling Dissipate at least ~ ½CV 2 per bit sent across chip ~ 2pJ Basic impedance mismatch between small logic devices and low impedance/high capacitance of wires electrical connection low impedance and/or high capacitance / unit length small, high-impedance devices 2/29/08 Computing of the Future, David A. B. Miller, Stanford 7

8 Optical and Electronic Physics - Differences Very short wavelength nm nm (electronics 3 cm cm m) m) Optics Very high frequency THz THz (electronics MHz MHz GHz) Large photon energy 2 ev ev (electronics nev nev µev) Miller /29/08 Computing of the Future, David A. B. Miller, Stanford 8

9 Features of Optics for Interconnection High frequency of optics Can carry a very large amount of information Can also have very short pulses (less than 1 picosecond) Short wavelength Allows the use of very low-loss loss optical fibers use dielectric guides larger than lossy metals Possibility of imaging interconnects 10,000 connections with one lens Both fibers and imaging enable very dense interconnects Large photon energy Quantum mechanical reception of signals means No pick-up of electrical noise Optical isolation of different voltage levels May allow lower power connections quantum impedance conversion Miller /29/08 Computing of the Future, David A. B. Miller, Stanford 9

10 Wiring, Optics, and Communicating Information Optics as a Solution at Many Levels? Requirements on optical technology For shorter distances, low power more important integration and efficient devices essential Electrical system energies ~ 100 pj per bit for backplane connections ~ 10 pj per bit for on-module (chip chip) interconnections ~ 1pJ per bit ( ( 1 mw/(gb/s)) for global interconnections on chip Optical system energies should be ~ 10 times lower for sufficient advantage Optical output device energies should be x3 x10 lower still than these system energies 2/29/08 Computing of the Future, David A. B. Miller, Stanford 10

11 What are the technical challenges for optics on chip? Device performance Want output device efficient at 10fJ optical transmitted energies Given ~ 1 V drive, capacitance of output device therefore < 10 ff device must work at injected charge levels ~ 10 fc ( ~ 10 5 electrons) equivalent to inverting a few square microns of one quantum well nanocavities likely essential for lasers Want detector and receiver that work at 1fJ received optical energy Therefore < or ~ 1fF photodetector Note 1 micron cube of semiconductor has a capacitance of ~ F (100aF) 1 fj will give ~ 1V swing in detector receiverless operation 2/29/08 Computing of the Future, David A. B. Miller, Stanford 11

12 What are the technical challenges for optics on chip? Systems and integration requirements Need to work at CMOS voltages < or ~ 1V Need to work at very high speeds Need technology with >> 10GHz speed possibilities Need dense optical channels At least as dense as current global wiring channels Otherwise cannot make a significant impact on the global interconnect traffic Hence need ~ 1000 s s of channels Must be manufacturable in integrated platform with CMOS 2/29/08 Computing of the Future, David A. B. Miller, Stanford 12

13 Universal Electronics/Optics/Optoelectronics Platform for All Levels? Use same physical technology for interconnect connecting to longer distance optical networks? E.g., interface WDM optical fiber directly to silicon? WDM splitters/combiners Modulator and detector arrays hybridized to, or made in silicon? Key problem optical output device integrable with silicon, with good enough performance i.e., comparable to that in III-Vs Input WDM fiber Optical power from multiwavelength source Modulator array WDM splitter CMOS chip Detector array WDM combiner Output WDM fiber 2/29/08 Computing of the Future, David A. B. Miller, Stanford 13

14 Silicon Photonics Technology Current status broad range of demonstrated optical waveguide, detector, and modulator technologies with see silicon, silicon dioxide, silicon nitride, SiGe and Ge all of these materials can be grown compatible with silicon CMOS fabrication technology Special Issue on Silicon Photonics, IEEE J. Selected Topics in Quantum Electronics Vol 12 Issue:6 Part: 2 (Nov.-Dec. 2006) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 14

15 Silicon Photonics Devices waveguides e.g., silicon ridge on SiO 2 (SOI), silicon wire detectors emitters Ge detectors on silicon see, e.g., recent representative work Huang et al. (2006), Koester et al. (2006), Colace et al. (2006), Luxtera Corp. apparently no electrically pumped room temperature silicon laser many mechanisms would anyway not give lasers that could be modulated at high frequency even for III-Vs, not clear that direct modulation is viable for low power and high data rates 10um fiber core waveguides Luxtera Corp. (courtesy Cary Gunn) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 15

16 Silicon Photonics Devices modulators refractive modulators based on carrier density index change technically very impressive use of a very weak mechanism Mach-Zehnder modulators see, e.g., Liao et al. (2006), Luxtera Corp. But high operating energies (10 s of pj per bit) ring-resonator resonator modulators see, e.g., review by Lipson (2006), Luxtera Corp. but high-q Q devices needing very precise tuning thermal tuning requires additional power may also have too much chirp for long-haul courtesy Michal Lipson (Cornell) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 16

17 Refractive Mechanisms for Modulators in Silicon and Germanium (1) Carrier density index change used for modulators so far Δ = Δ + Δ ( ) n N P Soref and Bennett (1987) ΔN (ΔP) electron (hole) density in cm -3 typical operating energies to make the necessary change in carrier density for a Mach-Zehnder modulator ~ 10 s s of pj (Liao et al. (2006)) weak mechanism leading to very high powers without resonators 2/29/08 Computing of the Future, David A. B. Miller, Stanford 17

18 The Missing Modulation Mechanism Want mechanism Compatible with silicon CMOS manufacture for low cost Works at telecommunications wavelengths and speeds Low energy and strong, for small, dense devices so can address all application areas Hence need performance as good as good III-V V devices But integration of III-V s s on silicon still challenging Group III and Group V elements are dopants for silicon However, some hope for future use of III-Vs III-V V modulators can successfully be grown on silicon (Goossen et al. 1989) silicon manufacturers are researching possible introduction of III-V s s for better transistors Ideally want a mechanism as strong as those in III-V s s but in a Group IV system 2/29/08 Computing of the Future, David A. B. Miller, Stanford 18

19 New optical modulator mechanism for silicon chips Quantum-confined Stark effect (QCSE) Strongest high-speed optical modulation mechanism Used today for high-speed, low power telecommunications optical modulators but in III-V V semiconductors QCSE in germanium quantum wells on silicon substrates Fully compatible with CMOS fabrication processes Can work over C-band C at 1.55 µm Surprises Works in indirect gap semiconductor Uses Ge direct gap absorption Actually better than in III-Vs Clearer peaks, stronger absorption Y.-H. Kuo, Y.-K. Lee, Y. Ge, S. Ren, J. E. Roth, T. I. Kamins, D. A. B. Miller & J. S. Harris, Nature 437, (2005) Funded by DARPA EPIC Program, Intel, MARCO/DARPA Interconnect Focused Research Center J. Harris and D. Miller groups, Stanford University 2/29/08 Computing of the Future, David A. B. Miller, Stanford 19

20 Quantum-Confined Stark Effect Energy Speed Electrostatic to charge or discharge device ( ) CV ( ) 2 2 1/2 1/2 εε r o E dv 13 volume Need field E ~ 10 5 V/cm for good modulation Need only a few microns optical path (1 10) for good absorption contrast Hence, per square micron of device cross-sectional sectional area, need ~ 6 60 fj of energy if no resonator/slow light 2 3 orders of magnitude less than, e.g., silicon carrier density refractive index modulators Speed QCSE works as fast as we can get the electric field onto the devices Fundamental limit to speed << 1 ps ε r 2/29/08 Computing of the Future, David A. B. Miller, Stanford 20

21 Strain-Balanced Structure n+ SiGe cap layer growth direction Undoped SiGe buffer layer Ge/SiGe quantum wells Y. H. Kuo Undoped SiGe buffer layer p+ Relaxed SiGe buffer layer Silicon Substrate Compressive Tensile Strain force ε Average Si concentration in Ge/SiGe quantum wells equals that in SiGe buffer Allows growth of thick structures without exceeding critical thickness 2/29/08 Computing of the Future, David A. B. Miller, Stanford 21

22 Side entry modulator on SOI in C-bandC Resonant cavity Input port Reflectors Si Substrate Optically active material Output port 50 nm buried oxide layer Buried oxide layer increases reflectivity frustrated total internal reflection 3 db modulation possible with 1 V swing (from 3.5 to 4.5 V) Shows Ge quantum wells can be grown on SOI also Operates in C-band C when heated to 100 C J. E. Roth, O. Fidaner, E. H. Edwards, R. K. Schaevitz, Y.-H. Kuo, N. C. Helman, T. I. Kamins, J. S. Harris, and D. A. B. Miller, Electronics Lett. 44, (2008) wavelength nm 2/29/08 Computing of the Future, David A. B. Miller, Stanford 22 Percentage transmission Transmission through SOI Modulator, Green: 1V,2V,...Red: 5V

23 First waveguide Ge quantum well devices Waveguide mode (in multimode guide) SiO 2 SiGe Silicon MQW Fabricated modulator, interconnect waveguide, and detector CW Light Modulator Interconnect Detector Modulator Interconnect First waveguide modulator detector interconnecting waveguide with Ge quantum wells on Si substrates Transmission (db) transmission (d Preliminary modulator transmission with bias voltage transmission try2 0 V 6 4 V 6 V wavelength 1490 (nm) Responsivity (A/W) 0.35 responsivity (A/W) Detector responsivity Wavelength (nm) wavelength 1480 (nm) Wavelength (nm) O. Fidaner et al., IEEE Photonics Technol. Lett. 19, (2007) 2/29/08 Computing of the Future, David A. B. Miller, Stanford V 2.5 V 5.0 V 7.5 V 10.0 V 0.5 V 2.5 V 5 V 7.5 V 10 V Detector eye diagram at 2.5 Gb/s

24 Mask and layout for nanoantenna 2/29/08 Computing of the Future, David A. B. Miller, Stanford 24

25 Dipole Antenna Enhanced Photodetector for 1.3 micron Wavelength Antenna arms Collecting electrodes 500 nm Ge detector element Y X Goal couple into deeply subwavelength detectors Antenna reduce capacitance to very low (<< 1 ff ) levels match micron optical wavelengths to deeply sub-micron devices 160 nm long dipole antenna arms Ge detector element: 150nm long (between collection electrode), 50nm wide (between antenna arms) and 80nm thick Antenna enhancement 24 times greater photocurrent for y polarization (antenna direction) than x polarization (@ 30 mv bias) evidence for strong antenna effects and field enhancement Liang Tang, S. Ekin Kocabas, Salman Latif, Ali K. Okyay, Dany Ly-Gagnon, Krishna C. Saraswat and David A. B. Miller (to be published, Nature Photonics) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 25

26 Compact WDM splitters? Nanophotonic superprism array wavelength splitter Could we make very compact wavelength spliters? Superprism effects in photonic nanostructures very large separation of wavelengths in compact structure form of dispersion can be engineered by design e.g., 66 layer non-periodic structure separates 4 wavelengths In Out 1 Out 2 Out 3 Out 4 Mirror Substrate Focussing lens x y Mirror z Dielectric Stack Bianca E. Nelson, Martina Gerken, David Miller et al. Opt. Lett. 25, (2000) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 26

27 Periodic vs. Non-Periodic Structure layer periodic (experiment) 200-layer periodic (theory) 66-layer non-periodic (experiment) 66-layer non-periodic (theory) Shift in μm Shift in um Wavelength in nm 66-layer non-periodic structure has larger, more linear shift than 200 layer periodic structure Martina Gerken and David A. B. Miller, IEEE Photonics Technol. Lett. 15, (2003); Experimental results are scaled to 1550 nm for comparison. Applied Optics 42, (2003) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 27

28 Two dimensional design compact mode splitter Essentially randomly add and/or subtract cylinders within a region to try to get desired function, iteratively Successfully designed possibly smallest mode splitter ever designed After ~10000 search steps (48 hours on a Pentium III computer) We have no idea why it works! Negligible intuition to guide the rod pattern If we have no idea how it works, how can we know how good we could make it? Multimode input Engineer precise mode splitting with positioning of dielectric columns Y. Jiao, S. Fan, and D. A. B. Miller., Optics Lett. 30, (2005) Single mode outputs 2/29/08 Computing of the Future, David A. B. Miller, Stanford 28

29 Predicted performance of designed linear dispersers Wavelength Normalized Wavelength Range / Range Shift-Model [a.u.] [nm/μm] Model 10 % < relative error < 25 % relative error < 10 % Chirped Designs Coupled-Cavity Design Optimized Design Empirical shift model for a good design Δ s= c ( Δλ ) Δn = 16 L sin n 2 avg M. Gerken and D. A. B. Miller, Applied Optics 44, (2005) Dispersion [um/nm] [μm/nm] Results from 623 different designs of multilayer linear dispersive elements Plotted as ratio of wavelength range Δλ / total spatial shift Δs,, against dispersion c Disp (spatial shift per unit wavelength) Strongly indicates underlying limit fundamental limit in performance ( θ ) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 29 Disp max

30 Is There a Fundamental Limit to Nanophotonic Devices? Suppose we want, e.g., to split 32 wavelengths to different positions Using, e.g., glass and air Intuitively Is there a limit to how little material we need If so, what is the limit? Probably there is a limit Somewhere between And 1 cubic micron A room full of optics Or at least an arrayed waveguide grating D. A. B. Miller, "Fundamental limit for optical components," J. Opt. Soc. Am. B 24, A1-A18 (2007) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 30

31 Example 1-D D Pulse Dispersion scattering volume receiving volume Pulse entering from the left containing identical pulses at four different center frequencies is dispersed into separate pulses in the receiving volume Want to deduce a limit to such a device by counting modes i.e., orthogonal waves that can be generated in the receiving space as a result of scattering Δω input pulses δω D. A. B. Miller, "Fundamental limit for optical components," J. Opt. Soc. Am. B 24, A1-A18 (2007) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 31

32 Example Result for 1D Pulse Separator For a transmissive device 3 π NB + NS λ η max Length of scattering volume in wavelengths maximum variation of dielectric constant relative to background For example, to separate pulses of 32 different equally spaced center wavelengths, near 1.55 microns using glass ( (ε r ~ 2.25) and air, then ηmax 1.25 then the length has to be greater than ~ 41.7 microns completely independent of the details of the design! D. A. B. Miller, "Fundamental limit for optical components," J. Opt. Soc. Am. B 24, A1-A18 (2007) D. A. B. Miller, Fundamental Limit to Linear One-Dimensional Slow Light Structures, Phys. Rev. Lett. 99, (2007) 2/29/08 Computing of the Future, David A. B. Miller, Stanford 32

33 Conclusions Optics has many attractive features for interconnects Silicon photonics has been advancing rapidly Ge quantum wells on silicon may give missing output device mechanism in Group IV semiconductors as good as the best in III-V s 2 3 orders of magnitude stronger mechanism than carrier density index change in silicon Very promising for devices Integrated with CMOS With high speed and low power Possible basis for one technology platform from interconnects to long haul Nanometallics offer strong light localization for improved devices es Nanophotonic limits now being understood suggest very small splitter devices 2/29/08 Computing of the Future, David A. B. Miller, Stanford 33

OThX1.pdf OSA / OFC/NFOEC /10/$ IEEE

OThX1.pdf OSA / OFC/NFOEC /10/$ IEEE 978-1-55752-884-1/10/$26.00 2010 IEEE Optical Interconnects David A. B. Miller Stanford University http://ee.stanford.edu/~dabm David A. B. Miller, Stanford 1 Scales of connections Telecommunications Campus

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

The Past, Present, and Future of Silicon Photonics

The Past, Present, and Future of Silicon Photonics The Past, Present, and Future of Silicon Photonics Myung-Jae Lee High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering Yonsei University Outline Introduction A glance at history

More information

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud Data centers Optical telecommunications Environment Interconnects Silicon

More information

Lecture 4 INTEGRATED PHOTONICS

Lecture 4 INTEGRATED PHOTONICS Lecture 4 INTEGRATED PHOTONICS What is photonics? Photonic applications use the photon in the same way that electronic applications use the electron. Devices that run on light have a number of advantages

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

Silicon Photonics: an Industrial Perspective

Silicon Photonics: an Industrial Perspective Silicon Photonics: an Industrial Perspective Antonio Fincato Advanced Programs R&D, Cornaredo, Italy OUTLINE 2 Introduction Silicon Photonics Concept 300mm (12 ) Photonic Process Main Silicon Photonics

More information

Device Requirements for Optical Interconnects to Silicon Chips

Device Requirements for Optical Interconnects to Silicon Chips To be published in Proc. IEEE Special Issue on Silicon Photonics, 2009 Device Requirements for Optical Interconnects to Silicon Chips David A. B. Miller, Fellow, IEEE Abstract We examine the current performance

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues

More information

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Prof. Utpal Das Professor, Department of Electrical Engineering, Laser Technology Program, Indian Institute of

More information

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product Myung-Jae Lee and Woo-Young Choi* Department of Electrical and Electronic Engineering,

More information

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect

Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect Silicon Carrier-Depletion-Based Mach-Zehnder and Ring Modulators with Different Doping Patterns for Telecommunication and Optical Interconnect Hui Yu, Marianna Pantouvaki*, Joris Van Campenhout*, Katarzyna

More information

EPIC: The Convergence of Electronics & Photonics

EPIC: The Convergence of Electronics & Photonics EPIC: The Convergence of Electronics & Photonics K-Y Tu, Y.K. Chen, D.M. Gill, M. Rasras, S.S. Patel, A.E. White ell Laboratories, Lucent Technologies M. Grove, D.C. Carothers, A.T. Pomerene, T. Conway

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade: Examination Optoelectronic Communication Technology April, 26 Name: Student ID number: OCT : OCT 2: OCT 3: OCT 4: Total: Grade: Declaration of Consent I hereby agree to have my exam results published on

More information

Optical Interconnection in Silicon LSI

Optical Interconnection in Silicon LSI The Fifth Workshop on Nanoelectronics for Tera-bit Information Processing, 1 st Century COE, Hiroshima University Optical Interconnection in Silicon LSI Shin Yokoyama, Yuichiro Tanushi, and Masato Suzuki

More information

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS

HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS HIGH-EFFICIENCY MQW ELECTROABSORPTION MODULATORS J. Piprek, Y.-J. Chiu, S.-Z. Zhang (1), J. E. Bowers, C. Prott (2), and H. Hillmer (2) University of California, ECE Department, Santa Barbara, CA 93106

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Indian Journal of Pure & Applied Physics Vol. 55, May 2017, pp. 363-367 Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Priyanka Goyal* & Gurjit Kaur

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Near/Mid-Infrared Heterogeneous Si Photonics

Near/Mid-Infrared Heterogeneous Si Photonics PHOTONICS RESEARCH GROUP Near/Mid-Infrared Heterogeneous Si Photonics Zhechao Wang, PhD Photonics Research Group Ghent University / imec, Belgium ICSI-9, Montreal PHOTONICS RESEARCH GROUP 1 Outline Ge-on-Si

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7 13.7 A 10Gb/s Photonic Modulator and WDM MUX/DEMUX Integrated with Electronics in 0.13µm SOI CMOS Andrew Huang, Cary Gunn, Guo-Liang Li, Yi Liang, Sina Mirsaidi, Adithyaram Narasimha, Thierry Pinguet Luxtera,

More information

Silicon-On-Insulator based guided wave optical clock distribution

Silicon-On-Insulator based guided wave optical clock distribution Silicon-On-Insulator based guided wave optical clock distribution K. E. Moselund, P. Dainesi, and A. M. Ionescu Electronics Laboratory Swiss Federal Institute of Technology People and funding EPFL Project

More information

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Abstract We report the fabrication and testing of a GaAs-based high-speed resonant cavity enhanced (RCE) Schottky photodiode. The

More information

Spontaneous Hyper Emission: Title of Talk

Spontaneous Hyper Emission: Title of Talk Spontaneous Hyper Emission: Title of Talk Enhanced Light Emission by Optical Antennas Ming C. Wu University of California, Berkeley A Science & Technology Center Where Our Paths Crossed Page Nanopatch

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Silicon photonic devices based on binary blazed gratings

Silicon photonic devices based on binary blazed gratings Silicon photonic devices based on binary blazed gratings Zhiping Zhou Li Yu Optical Engineering 52(9), 091708 (September 2013) Silicon photonic devices based on binary blazed gratings Zhiping Zhou Li Yu

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1 Lecture 6 Optical transmitters Photon processes in light matter interaction Lasers Lasing conditions The rate equations CW operation Modulation response Noise Light emitting diodes (LED) Power Modulation

More information

Compact hybrid TM-pass polarizer for silicon-on-insulator platform

Compact hybrid TM-pass polarizer for silicon-on-insulator platform Compact hybrid TM-pass polarizer for silicon-on-insulator platform Muhammad Alam,* J. Stewart Aitchsion, and Mohammad Mojahedi Department of Electrical and Computer Engineering, University of Toronto,

More information

Silicon Photonics Opportunity, applications & Recent Results

Silicon Photonics Opportunity, applications & Recent Results Silicon Photonics Opportunity, applications & Recent Results Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Intel Corporation www.intel.com/go/sp Purdue University Oct 5 2007 Agenda

More information

Chapter 10 WDM concepts and components

Chapter 10 WDM concepts and components Chapter 10 WDM concepts and components - Outline 10.1 Operational principle of WDM 10. Passive Components - The x Fiber Coupler - Scattering Matrix Representation - The x Waveguide Coupler - Mach-Zehnder

More information

Edward L. Ginzton Laboratory, Stanford University, CA 94305, USA *

Edward L. Ginzton Laboratory, Stanford University, CA 94305, USA * Nanoscale resonant-cavity-enhanced germanium photodetectors with lithographically defined spectral response for improved performance at telecommunications wavelengths Krishna C. Balram, * Ross M. Audet,

More information

Electronically tunable fabry-perot interferometers with double liquid crystal layers

Electronically tunable fabry-perot interferometers with double liquid crystal layers Electronically tunable fabry-perot interferometers with double liquid crystal layers Kuen-Cherng Lin *a, Kun-Yi Lee b, Cheng-Chih Lai c, Chin-Yu Chang c, and Sheng-Hsien Wong c a Dept. of Computer and

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide [ APPLIED PHYSICS LETTERS ] High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide Dazeng Feng, Shirong Liao, Roshanak Shafiiha. etc Contents 1. Introduction

More information

Nanophotonics for low latency optical integrated circuits

Nanophotonics for low latency optical integrated circuits Nanophotonics for low latency optical integrated circuits Akihiko Shinya NTT Basic Research Labs., Nanophotonics Center, NTT Corporation MPSoC 17, Annecy, France Outline Low latency optical circuit BDD

More information

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi Optical Amplifiers Continued EDFA Multi Stage Designs 1st Active Stage Co-pumped 2nd Active Stage Counter-pumped Input Signal Er 3+ Doped Fiber Er 3+ Doped Fiber Output Signal Optical Isolator Optical

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

High Speed pin Photodetector with Ultra-Wide Spectral Responses

High Speed pin Photodetector with Ultra-Wide Spectral Responses High Speed pin Photodetector with Ultra-Wide Spectral Responses C. Tam, C-J Chiang, M. Cao, M. Chen, M. Wong, A. Vazquez, J. Poon, K. Aihara, A. Chen, J. Frei, C. D. Johns, Ibrahim Kimukin, Achyut K. Dutta

More information

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing Trung-Thanh Le Abstract--Chip level optical links based on VLSI photonic integrated circuits

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Photonics and Optical Communication

Photonics and Optical Communication Photonics and Optical Communication (Course Number 300352) Spring 2007 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering http://www.faculty.iu-bremen.de/dknipp/ 1 Photonics and Optical Communication

More information

Ultralow-power all-optical RAM based on nanocavities

Ultralow-power all-optical RAM based on nanocavities Supplementary information SUPPLEMENTARY INFORMATION Ultralow-power all-optical RAM based on nanocavities Kengo Nozaki, Akihiko Shinya, Shinji Matsuo, Yasumasa Suzaki, Toru Segawa, Tomonari Sato, Yoshihiro

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

InP-based Waveguide Photodetector with Integrated Photon Multiplication

InP-based Waveguide Photodetector with Integrated Photon Multiplication InP-based Waveguide Photodetector with Integrated Photon Multiplication D.Pasquariello,J.Piprek,D.Lasaosa,andJ.E.Bowers Electrical and Computer Engineering Department University of California, Santa Barbara,

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 016 Lecture 7: Transmitter Analysis Sam Palermo Analog & Mixed-Signal Center Texas A&M University Optical Modulation Techniques

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

S Optical Networks Course Lecture 2: Essential Building Blocks

S Optical Networks Course Lecture 2: Essential Building Blocks S-72.3340 Optical Networks Course Lecture 2: Essential Building Blocks Edward Mutafungwa Communications Laboratory, Helsinki University of Technology, P. O. Box 2300, FIN-02015 TKK, Finland Tel: +358 9

More information

A continuous-wave Raman silicon laser

A continuous-wave Raman silicon laser A continuous-wave Raman silicon laser Haisheng Rong, Richard Jones,.. - Intel Corporation Ultrafast Terahertz nanoelectronics Lab Jae-seok Kim 1 Contents 1. Abstract 2. Background I. Raman scattering II.

More information

Lecture 9 External Modulators and Detectors

Lecture 9 External Modulators and Detectors Optical Fibres and Telecommunications Lecture 9 External Modulators and Detectors Introduction Where are we? A look at some real laser diodes. External modulators Mach-Zender Electro-absorption modulators

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

OPTICAL COMMUNICATIONS S

OPTICAL COMMUNICATIONS S OPTICAL COMMUNICATIONS S-108.3110 1 Course program 1. Introduction and Optical Fibers 2. Nonlinear Effects in Optical Fibers 3. Fiber-Optic Components 4. Transmitters and Receivers 5. Fiber-Optic Measurements

More information

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Yaming Li, Chong Li, Chuanbo Li, Buwen Cheng, * and Chunlai Xue State Key Laboratory on Integrated Optoelectronics,

More information

Optical Communications and Networking 朱祖勍. Sept. 25, 2017

Optical Communications and Networking 朱祖勍. Sept. 25, 2017 Optical Communications and Networking Sept. 25, 2017 Lecture 4: Signal Propagation in Fiber 1 Nonlinear Effects The assumption of linearity may not always be valid. Nonlinear effects are all related to

More information

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects 2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects JaeHyun Ahn a, Harish Subbaraman b, Liang Zhu a, Swapnajit Chakravarty b, Emanuel

More information

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach Kjersti Kleven and Scott T. Dunham Department of Electrical Engineering University of Washington 27 September 27 Outline

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

All-optical logic based on silicon micro-ring resonators

All-optical logic based on silicon micro-ring resonators All-optical logic based on silicon micro-ring resonators Qianfan Xu and Michal Lipson School of Electrical and Computer Engineering, Cornell University 411 Phillips Hall, Ithaca, NY 14853 lipson@ece.cornell.edu

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany Silicon Photonics in Optical Communications Lars Zimmermann, IHP, Frankfurt (Oder), Germany Outline IHP who we are Silicon photonics Photonic-electronic integration IHP photonic technology Conclusions

More information

Compact electro-optic modulator on silicon-oninsulator substrates using cavities with ultrasmall modal volumes

Compact electro-optic modulator on silicon-oninsulator substrates using cavities with ultrasmall modal volumes Compact electro-optic modulator on silicon-oninsulator substrates using cavities with ultrasmall modal volumes Bradley Schmidt, Qianfan Xu, Jagat Shakya, Sasikanth Manipatruni, and Michal Lipson School

More information

Figure 1 Basic waveguide structure

Figure 1 Basic waveguide structure Recent Progress in SOI Nanophotonic Waveguides D. Van Thourhout, P. Dumon, W. Bogaerts, G. Roelkens, D. Taillaert, G. Priem, R. Baets IMEC-Ghent University, Department of Information Technology, St. Pietersnieuwstraat

More information

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography

Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography Integrated photonic circuit in silicon on insulator for Fourier domain optical coherence tomography Günay Yurtsever *,a, Pieter Dumon a, Wim Bogaerts a, Roel Baets a a Ghent University IMEC, Photonics

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

InP-based waveguide photodiodes heterogeneously integrated on silicon-oninsulator for photonic microwave generation

InP-based waveguide photodiodes heterogeneously integrated on silicon-oninsulator for photonic microwave generation InP-based waveguide photodiodes heterogeneously integrated on silicon-oninsulator for photonic microwave generation Andreas Beling, 1,* Allen S. Cross, 1 Molly Piels, 2 Jon Peters, 2 Qiugui Zhou, 1 John

More information

Tunable Resonant-Cavity-Enhanced Photodetector with Double High- Index-Contrast Grating Mirrors

Tunable Resonant-Cavity-Enhanced Photodetector with Double High- Index-Contrast Grating Mirrors Tunable Resonant-Cavity-Enhanced Photodetector with Double High- Index-Contrast Grating Mirrors Supannee Learkthanakhachon, Kresten Yvind, and Il-Sug Chung* Department of Photonics Engineering, Technical

More information

Optically reconfigurable balanced dipole antenna

Optically reconfigurable balanced dipole antenna Loughborough University Institutional Repository Optically reconfigurable balanced dipole antenna This item was submitted to Loughborough University's Institutional Repository by the/an author. Citation:

More information

Lecture 1: Course Overview. Rajeev J. Ram

Lecture 1: Course Overview. Rajeev J. Ram Lecture 1: Course Overview Rajeev J. Ram Office: 36-491 Telephone: X3-4182 Email: rajeev@mit.edu Syllabus Basic concepts Advanced concepts Background: p-n junctions Photodetectors Modulators Optical amplifiers

More information

Waveguide-Integrated Optical Antenna nanoleds for On-Chip Communication

Waveguide-Integrated Optical Antenna nanoleds for On-Chip Communication Waveguide-Integrated Optical Antenna nanoleds for On-Chip Communication Michael Eggleston, Kevin Messer, Seth Fortuna, Eli Yablonovitch, Ming C. Wu Department of Electrical Engineering and Computer Sciences

More information

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging M. Asghari Kotura Inc April 27 Contents: Who is Kotura Choice of waveguide technology Challenges and merits of Si photonics

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information "Large-scale integration of wavelength-addressable all-optical memories in a photonic crystal chip" SUPPLEMENTARY INFORMATION Eiichi Kuramochi*, Kengo Nozaki, Akihiko Shinya,

More information

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in semiconductor material Pumped now with high current density

More information

Wavelength-controlled hologram-waveguide modules for continuous beam-scanning in a phased-array antenna system

Wavelength-controlled hologram-waveguide modules for continuous beam-scanning in a phased-array antenna system Waveleng-controlled hologram-waveguide modules for continuous beam-scanning in a phased-array antenna system Zhong Shi, Yongqiang Jiang, Brie Howley, Yihong Chen, Ray T. Chen Microelectronics Research

More information

Nanoscale Systems for Opto-Electronics

Nanoscale Systems for Opto-Electronics Nanoscale Systems for Opto-Electronics 675 PL intensity [arb. units] 700 Wavelength [nm] 650 625 600 5µm 1.80 1.85 1.90 1.95 Energy [ev] 2.00 2.05 1 Nanoscale Systems for Opto-Electronics Lecture 5 Interaction

More information

Instruction manual and data sheet ipca h

Instruction manual and data sheet ipca h 1/15 instruction manual ipca-21-05-1000-800-h Instruction manual and data sheet ipca-21-05-1000-800-h Broad area interdigital photoconductive THz antenna with microlens array and hyperhemispherical silicon

More information

Characterization of Photonic Structures with CST Microwave Studio. CST UGM 2010 Darmstadt

Characterization of Photonic Structures with CST Microwave Studio. CST UGM 2010 Darmstadt Characterization of Photonic Structures with CST Microwave Studio Stefan Prorok, Jan Hendrik Wülbern, Jan Hampe, Hooi Sing Lee, Alexander Petrov and Manfred Eich, Institute of Optical and Electronic Materials

More information

Investigation of ultrasmall 1 x N AWG for SOI- Based AWG demodulation integration microsystem

Investigation of ultrasmall 1 x N AWG for SOI- Based AWG demodulation integration microsystem University of Wollongong Research Online Faculty of Engineering and Information Sciences - Papers: Part A Faculty of Engineering and Information Sciences 2015 Investigation of ultrasmall 1 x N AWG for

More information

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311)

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311) Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311) (invited) Formation and control of silicon nanocrystals by ion-beams for photonic applications M Halsall The University of Manchester,

More information

Heinrich-Hertz-Institut Berlin

Heinrich-Hertz-Institut Berlin NOVEMBER 24-26, ECOLE POLYTECHNIQUE, PALAISEAU OPTICAL COUPLING OF SOI WAVEGUIDES AND III-V PHOTODETECTORS Ludwig Moerl Heinrich-Hertz-Institut Berlin Photonic Components Dept. Institute for Telecommunications,,

More information

Physics of Waveguide Photodetectors with Integrated Amplification

Physics of Waveguide Photodetectors with Integrated Amplification Physics of Waveguide Photodetectors with Integrated Amplification J. Piprek, D. Lasaosa, D. Pasquariello, and J. E. Bowers Electrical and Computer Engineering Department University of California, Santa

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

Integration of Photonics Technology for Communication Systems

Integration of Photonics Technology for Communication Systems Integration of Photonics Technology for Communication Systems Sudhakar Sekar Abstract Video is an important revenue generating platform for both cable and telecom service providers and will also impact

More information

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Sixth Edition. 4ü Spri rineer g<

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Sixth Edition. 4ü Spri rineer g< Robert G. Hunsperger Integrated Optics Theory and Technology Sixth Edition 4ü Spri rineer g< 1 Introduction 1 1.1 Advantages of Integrated Optics 2 1.1.1 Comparison of Optical Fibers with Other Interconnectors

More information

Silicon Avalanche Photodetectors Fabricated With Standard CMOS/BiCMOS Technology Myung-Jae Lee

Silicon Avalanche Photodetectors Fabricated With Standard CMOS/BiCMOS Technology Myung-Jae Lee Silicon Avalanche Photodetectors Fabricated With Standard CMOS/BiCMOS Technology Myung-Jae Lee The Graduate School Yonsei University Department of Electrical and Electronic Engineering Silicon Avalanche

More information

The resonant tunneling diode-laser diode optoelectronic integrated circuit operating as a voltage controlled oscillator

The resonant tunneling diode-laser diode optoelectronic integrated circuit operating as a voltage controlled oscillator The resonant tunneling diode-laser diode optoelectronic integrated circuit operating as a voltage controlled oscillator C. N. Ironside a, T. J. Slight a, L. Wang a and E. Wasige a, B. Romeira b and J.

More information

Photomixer as a self-oscillating mixer

Photomixer as a self-oscillating mixer Photomixer as a self-oscillating mixer Shuji Matsuura The Institute of Space and Astronautical Sciences, 3-1-1 Yoshinodai, Sagamihara, Kanagawa 9-8510, Japan. e-mail:matsuura@ir.isas.ac.jp Abstract Photomixing

More information

CMOS-compatible dual-output silicon modulator for analog signal processing

CMOS-compatible dual-output silicon modulator for analog signal processing CMOS-compatible dual-output silicon modulator for analog signal processing S. J. Spector 1*, M. W. Geis 1, G.-R.Zhou 2, M. E. Grein 1, F. Gan 2, M.A. Popović 2, J. U. Yoon 1, D. M. Lennon 1, E. P. Ippen

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information