MS Diploma and Semester Projects offered at the Microelectronic Systems Laboratory during the winter

Size: px
Start display at page:

Download "MS Diploma and Semester Projects offered at the Microelectronic Systems Laboratory during the winter"

Transcription

1 v1.1 as of MS Diploma and Semester Projects offered at the Microelectronic Systems Laboratory during the winter Students are asked to contact the project responsible to register. The majority of the projects are proposed as MS Diploma and Semester, and the amount of work will be adapted. Also, some projects can be carried out in groups of two students. Projects are proposed in six categories in the following pages. Analog and mixed-signal circuits Digital circuits and modeling Bio-electronic interfaces and biomedical applications Fabrication technologies Industrial projects / external projects (for MSc diploma) Application development (software development) 1/10

2 Analog and mixed-signal circuits A1 Exploring the Self-Heating Characteristics of Deep Sub-Micron Devices The self-heating behaviors of modern MOSFET devices will be investigated on TCAD tool of Synopsys. Different device geometries will be modeled by using the Structure Editor software. Their electo-thermal properties will be analyzed on SDevice tool. The main targets are - Observation and comparison the different self-heating rates and peak temperature values on different device geometries (bulk, FDSOI etc.) in DC and transient - Simulation of the thermal vias for decreasing the device peak temperatures - Transient simulation of differential pairs for observing the temperature induced input referred offset voltage The software tools and simulators are very well documented: Moreover, similar work has already been performed and reported: pdf Therefore the candidate can easily advance in the beginning. Supervisor Assistant: Can BALTACI Supervisor Professor: Yusuf LEBLEBICI A2 Effort Breakdown: Main: Device Level Simulations on TCAD 80% Supplementary: Circuit Simulations on Cadence 10%. Matlab, Excel 10% Exploring the Self-Heating Effects on the Noise Figure Performances of LNAs The self heating is an important problem especially in modern technologies such as FDSOI where the device temperatures increase significantly at higher frequencies and high bias currents. High temperature translates into higher thermal and flicker noise in MOSFET devices. In this project, the noise performance of LNA blocks will be analyzed by considering the selfheating effect of the LNA itself. LNAs will be optimized by providing the optimum bias conditions and device sizing for having the lowest operating temperature due to self-heating and lower Noise Figure. For that, different LNA blocks will be implemented and taped-out in 28nm FDSOI technology. Supervisor Assistant: Can BALTACI Supervisor Professor: Yusuf LEBLEBICI A3 Effort Breakdown: Main: Circuit Design in Cadence (Schematic, Simulations, Layout) 75% Supplementary: RF Simulations on ADS or Momentum 25% Implementation and Electro-thermal Analysis of a High Speed 64-bit Adder A high speed (less than 100ps REG-REG delay) 64-bit parallel prefix adder will be implemented in 28nm FDSOI technology. The heat generation sources of the implemented adder will be extracted for performing high resolution thermal simulations. The implemented adder will be simulated for FDSOI and bulk thermal geometries to obtain the temperature maps to observe the peak temperatures. Finally the FDSOI and bulk temperature maps will be compared for 28nm FDSOI. With this project the student will be able to get familiar with an advanced technology node (28nm FDSOI) and work on a wide range of topics (full custom digital design, thermal analysis and simulations, self-heating in nanometer scale devices). Supervisor Assistant: Can BALTACI Supervisor Professor: Yusuf LEBLEBICI 2/10

3 A4 Effort Breakdown: Main: Circuit Design in Cadence (Schematic, Simulations, Layout) 80% Supplementary: Matlab, HotSpot (Thermal Simulator) 20% Design and Implementation of a Single-Sideband Wireline Serial Link Transceiver for Multi- Drop I/O A fast growth of data generated by everything all around us at all the time, leading the era of bigdata, requires explosively increasing input/output (I/O) bandwidth of data communication systems. In addition, systems running memory-intensive applications, such as workstation-level computing systems and high-end video game consoles require ever-increasing memory bandwidth. While on-chip circuit bandwidth is improved by process technology node shrinkage, I/O interconnects raise as bandwidth and power efficiency bottleneck of the entire system. High-speed transceivers (TRXs) including equalization circuits for high-speed electrical links in the interfaces of such systems enable fast and power-efficient data transfer among integrated circuits. In this project, the student will design and implement a single-sideband wireline serial link TRX for multi-drop interfaces such as dual inline memory module (DIMM) for DRAM interfaces. The student will first model a single-sideband wireline serial link system using MATLAB, then design and implement (schematic + layout) the modeled TRX in 28-nm CMOS. Project for MSc diploma students (4 months) Effort breakdown: 20% Literature study 10% MATLAB modeling 40% Schematic design and simulation 30% Layout design A5 Contact/Supervisor in charge: Gain Kim (gain.kim@epfl.ch) Responsible Professor: Yusuf Leblebici Design and Implementation of a Multi-Tone/Single-Sideband Serial Link Transceiver for Lossy Wireline Data Links Ever-increasing amount of data generated by all the connected devices all around the world drive the era of big data. This trend does not only require fast enhancement of processing capability of processing units, but also explosive increase of input/output (I/O) bandwidth for communicating among the connected devices. In addition to this, many-core computing systems for heavy computing tasks require very high bandwidth I/O links to communicate from one chip to other chips. While intra-chip communication bandwidth is improved by fabrication process technology improvement, inter-chip links remain as power efficiency and communication speed bottleneck of the entire system. Therefore, serial link transceivers (TRXs) with high-bandwidth and low energy consumption are essential in next-generation wireline I/Os. In this project, the student will design and implement a multi-carrier single-sideband serial link TRX for lossy wireline data link applications such as server backplane systems. The student will first model a multi-carrier single-sideband serial link system using MATLAB, then design and implement (schematic + layout) the modeled TRX in 28-nm CMOS. Project for MSc diploma students (4 months) Effort breakdown: 20% Literature study 10% MATLAB modeling 3/10

4 40% Schematic design and simulation 30% Layout design A6 Contact/Supervisor in charge: Gain Kim Responsible Professor: Yusuf Leblebici Design and Implementation of a Multi-Drop I/O Wireline Transceiver with Crosstalk and ISI Reduction Property in Multi-Drop Memory Interfaces The demand for higher data bandwidth necessitates a rapid improvement in I/O performance. However,equalizing the channel impairments remains as the major throughput bottleneck in today s high-performance computing era. Memory system employing dual in-line memory modules (DIMMs) are a good example for such a paradigm. The multi-drop nature of DIMM interfaces and crosstalk (Xtalk) between closely spaced memory channels require complex equalization circuitry. For multi-drop bus (MDB) DIMM interfaces, employing multi-tone (MT) signaling offers a lowpower solution to reduce the receiver (RX) complexity, diminish the Xtalk, and ameliorate power efficiency. Therefore, a simple digital coding scheme to shape the transmitter (TX) spectrum according to the MDB notches while the aggregate data rate is kept fixed for different channel configurations has been developed. In this project, the student will design and implement the proposed transceiver (TRX). The student will first perform circuit-level simulations and once validated, design a full-custom layout in 28-nm CMOS. Project for MSc diploma/semester project students (4 months) Effort breakdown: 20% Literature study 50% Schematic design and simulation 30% Layout design Contact/Supervisor in charge: Gain Kim (gain.kim@epfl.ch) Responsible Professor: Yusuf Leblebici 4/10

5 Digital circuits and modeling D1 - D2 - D3 - D4 - D5-5/10

6 Bio-electronic interfaces and biomedical applications B1 Ultra low-voltage, low-power biomedical interface design based on switched-mode operational amplifier The target of this project is to design neural recording system with supply voltage down to 0.5V and low-power consumption for next generation implant. The design strategy is to employ a novel timedomain signal processing circuit called switched-mode operational amplifier (SMOA) to replace the conventional OTA based design. In SMOA, voltage swing of signals is encoded into pulse width using a PWM modulator, which benefits from higher time resolution in scaled technology. Both theoretical and practical part will be explored in this project. Effort breakdown: 30% literature survey, 70% full custom circuit design Supervisor in charge: Wen-Yang Hsu Responsible teacher: Alexandre Schmid B2 Epilepsy Feature Extraction Using Support Vector Machine (SVM) Machine learning is the study of pattern recognition and computational learning theory in artificial intelligence. It has different applications such as finance, E-commerce, web-search, space exploration, robotics, etc. In this project, our goal is to detect the epilepsy by means of a supervised learning which is defined as a type of learning that the computer is presented with example inputs and their desired outputs, given by a "teacher", and the goal is to learn a general rule that maps inputs to outputs. The student should be able to: 1) Implement the SVM on the FPGA. 2) Implement the SVM using 0.18 um technology. Project cut-down: 20% literature review 25% FPGA programming 20% Design and simulation using 0.18 um UMC 35% Full-custom layout using 0.18 um UMC contact person: Reza Ranjandish (reza.ranjandish@epfl.ch) Responsible Professor: Alexandre Schmid B3-6/10

7 Fabrication technologies N1 Characterization and Optimization of Thermo-Compresive Parylene-C Bonding The increasing need for faster and smaller integrated circuits has resulted in continuous downscaling in transistor sizes. A new technology, namely 3D integration, has emerged since the conventional planar IC fabrication has almost reached its limits. This technology facilitates the integration of both identical (homogeneous) and heterogeneous layers. Therefore, it enables reaching higher volumetric densities and stacking different chips produced by dedicated IC technologies. Parylene-C has been used as an adhesive layer for bonding in our group in 3D integration processes. This project aims to investigate the bonding strength of parylene-c layer under different bonding conditions such as temperature and pressure. This project gives the student an opportunity of hands-on fabrication experience in the cleanroom. Experimental results may also be published in a scientific paper. Project for Semester/MSc Diploma Students Project Cutdown 60% Fabrication in the Cleanroom 40% Functionality and Characterization Testing N2 Contact: Seniz Esra Kucuk (seniz.kucuk@epfl.ch) Supervisor: Y. Leblebici A New Approach of TSV Fabrication for Multilayer Stacking The increasing need for faster and smaller integrated circuits has resulted in continuous downscaling in transistor sizes. A new technology, namely 3D integration, has emerged since the conventional planar IC fabrication has almost reached its limits. This technology facilitates the integration of both identical (homogeneous) and heterogeneous layers. Therefore, it enables reaching higher volumetric densities and stacking different chips produced by dedicated IC technologies. Multilayer (more than two layers) stacking has been a prodominant field in the 3D integration processes. New approaches are needed to increase multilayer stacking performance. This project aims to investigate a new approach to be applied on multilayer stacks as well as giving an opportunity to work in the cleanroom. Project for MSc Diploma Students 20% Design 60% Fabrication in the Cleanroom 20% Functionality and Characterization Testing N3 Contact: Seniz Esra Kucuk (seniz.kucuk@epfl.ch) Supervisor: Y. Leblebici Electrical Modeling of Copper Interconnects (TSVs) The increasing need for faster and smaller integrated circuits has resulted in continuous downscaling in transistor sizes. A new technology, namely 3D integration, has emerged since the conventional planar IC fabrication has almost reached its limits. This technology facilitates the integration of both identical (homogeneous) and heterogeneous layers. Therefore, it enables reaching higher volumetric densities and stacking different chips produced by dedicated IC technologies. Through-silicon-vias are one of the key elements in 3D integration technology since they are used to electrically connect the chips to each other. Therefore, modeling and characterization of these metal interconnects plays a vital role in this research topic. 7/10

8 The purpose of this project is to model the RLC parameters of the TSV as a function of material characteristic and physical parameters. Project for Semester/MSc Diploma Students Project Cutdown 10% Literature Search 90% Modeling N4 Contact: Seniz Esra Kucuk (seniz.kucuk@epfl.ch) Supervisor: Y. Leblebici Fabrication and Characterization of Metal Oxide ReRAM Memory Cells Resistive Random Access Memories (ReRAM) are a class of emerging non volatile memories characterized by low operating voltage, high scalability, high endurance and compatibility with standard CMOS processes. The aim of this project is to investigate the influence of specific process variations (e.g. oxide type and thickness, buffer layers, annealing steps) on the memory cell electrical performances. The student will experience a complete device development flow. This will include process design, device fabrication in a class 100 cleanroom and electrical characterization. Project for MSc Diploma Students 10% Design 70% Fabrication in the cleanroom 20% Electrical characterization Contact: Jury Sandrini (jury.sandrini@epfl.ch) Supervisor: Y. Leblebici 8/10

9 Industrial projects / External projects (MSc diploma) IE1 EM Microelectronic is proposing a number of internships that could be of interest for EE/CS students in your organization. The topics can be found at the link below and we would very much appreciate if you could help inform your students regarding these opportunities. Internships can potentially and in agreement with the student and his thesis advisor be extended into an M.Sc. thesis project. Interested students should submit application letter and resume (CV) as soon as possible: Recruiting@emmicroelectronic.com As an EPFL registered internship or MSc diploma, please also contact one of the following possible supervisor: Y. Leblebici, A. Vachoux, A. Schmid 9/10

10 Application development (software development) SW1 - SW2-10/10

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Eric Leclerc UMS 1 st Nov 2018 Outline Why heterogenous integration? About UMS Technology portfolio Design tooling: Cadence / GoldenGate

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Design of VCOs in Global Foundries 28 nm HPP CMOS

Design of VCOs in Global Foundries 28 nm HPP CMOS Design of VCOs in Global Foundries 28 nm HPP CMOS Evan Jorgensen 33 rd Annual Microelectronics Conference Rochester Institute of Technology Department of Electrical and Microelectronic Engineering May

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates.

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. T. Krupkina, D. Rodionov, A. Nikolaev. Moscow State Institute of Electronic Technics (Technical University)

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

RFIC Group Semester and Diploma Projects

RFIC Group Semester and Diploma Projects RFIC Group Semester and Diploma Projects 1. Fully Implantable Remotely Powered Sensor System for Biomedical Monitoring System This project focuses on the design of a fully implantable, remotely powered

More information

Microelectronic sensors for impedance measurements and analysis

Microelectronic sensors for impedance measurements and analysis Microelectronic sensors for impedance measurements and analysis Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Roberto Cardu Ph.D Tutor: Prof. Roberto Guerrieri Summary 3D integration

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 Joseph Adut,Chaitanya Krishna Chava, José Silva-Martínez March 27, 2002 Texas A&M University Analog

More information

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS

FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS FULLY- DEPLETED SOI CMOS CIRCUITS AND TECHNOLOGY FOR ULTRALOW- POWER APPLICATIONS Takayasu Sakurai University of Tokyo Akira Matsuzawa Tokyo Institute of Technology and Takakuni Douseki NTT Corporation

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

http://clicdp.cern.ch Hybrid Pixel Detectors with Active-Edge Sensors for the CLIC Vertex Detector Simon Spannagel on behalf of the CLICdp Collaboration Experimental Conditions at CLIC CLIC beam structure

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

Guest Editorial: Low-Voltage Integrated Circuits and Systems

Guest Editorial: Low-Voltage Integrated Circuits and Systems Circuits Syst Signal Process (2017) 36:4769 4773 DOI 10.1007/s00034-017-0666-7 Guest Editorial: Low-Voltage Integrated Circuits and Systems Fabian Khateb 1,2 Spyridon Vlassis 3 Tomasz Kulej 4 Published

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Kyoungchoul Koo, Hyunjeong Park, Yujeong Shim and Joungho Kim Terahertz Interconnection and Package Laboratory, Dept.

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects 160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects Fuad Doany, Clint Schow, Jeff Kash C. Baks, D. Kuchta, L. Schares, & R. John IBM T. J. Watson Research Center doany@us.ibm.com

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

ARMAG Ongoing Research Summary

ARMAG Ongoing Research Summary ARMAG Ongoing Research Summary The primary goal of ARMAG [Advanced RF and Mixed-Signal Applications Group] is development of innovative circuits and system level solutions for RF and mixed-signal applications.

More information

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS Introduction WPAN (Wireless Personal Area Network) transceivers are being designed to operate in the 60 GHz frequency band and will mainly

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration?

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? Ravi Subramanian, Ph.D. Berkeley Design Automation, Inc. 2013 Berkeley Design Automation, Inc. 1 Outline Introduction Structural Shift

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS Marcelo Antonio Pavanello *, João Antonio Martino and Denis Flandre 1 Laboratório de Sistemas Integráveis Escola Politécnica

More information

Technical challenges for high-frequency wireless communication

Technical challenges for high-frequency wireless communication Journal of Communications and Information Networks Vol.1, No.2, Aug. 2016 Technical challenges for high-frequency wireless communication Review paper Technical challenges for high-frequency wireless communication

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 2008/Sep/17 1 Text Book: Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 References: (MSR) Thomas H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2/e, Cambridge University Press,

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS EECS240 Spring 2009 Advanced Analog Integrated Circuits Lecture 1: Introduction Elad Alon Dept. of EECS Course Focus Focus is on analog design Typically: Specs circuit topology layout Will learn spec-driven

More information

GaN Power Amplifiers for Next- Generation Wireless Communications

GaN Power Amplifiers for Next- Generation Wireless Communications GaN Power Amplifiers for Next- Generation Wireless Communications Jennifer Kitchen Arizona State University Students: Ruhul Hasin, Mahdi Javid, Soroush Moallemi, Shishir Shukla, Rick Welker Wireless Communications

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

High Voltage Operational Amplifiers in SOI Technology

High Voltage Operational Amplifiers in SOI Technology High Voltage Operational Amplifiers in SOI Technology Kishore Penmetsa, Kenneth V. Noren, Herbert L. Hess and Kevin M. Buck Department of Electrical Engineering, University of Idaho Abstract This paper

More information

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND SUCHITAV KHADANGA RFIC TECHNOLOGIES, BANGALORE, INDIA http://www.rficdesign.com Team-RV COLLEGE Ashray V K D V Raghu Sanjith P Hemagiri Rahul Verma

More information

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY International Journal of Electronics and Communication Engineering (IJECE) ISSN 2278-9901 Vol. 2, Issue 4, Sep 2013, 67-74 IASET ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Umamaheswara Rao Tida 1 Cheng Zhuo 2 Yiyu Shi 1 1 ECE Department, Missouri University of Science and Technology 2 Intel Research, Hillsboro Outline

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

Speed your Radio Frequency (RF) Development with a Building-Block Approach

Speed your Radio Frequency (RF) Development with a Building-Block Approach Speed your Radio Frequency (RF) Development with a Building-Block Approach Whitepaper - May 2018 Nigel Wilson, CTO, CML Microcircuits. 2018 CML Microcircuits Page 1 of 13 May 2018 Executive Summary and

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Inductor Modeling of Integrated Passive Device for RF Applications

Inductor Modeling of Integrated Passive Device for RF Applications Inductor Modeling of Integrated Passive Device for RF Applications Yuan-Chia Hsu Meng-Lieh Sheu Chip Implementation Center Department of Electrical Engineering 1F, No.1, Prosperity Road I, National Chi

More information

Technology-Independent CMOS Op Amp in Minimum Channel Length

Technology-Independent CMOS Op Amp in Minimum Channel Length Technology-Independent CMOS Op Amp in Minimum Channel Length A Thesis Presented to The Academic Faculty by Susanta Sengupta In Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information