for alternating phase shift mask fabrication

Size: px
Start display at page:

Download "for alternating phase shift mask fabrication"

Transcription

1 Practical phase control technique for alternating phase shift mask fabrication Miho Takahashi,*l Akihiro Miyake,*2 Hidetaka Saitou,*2 Hiroyuki Miyashita,*3 and Shiaki Murai*2 *1 Mask Engineering Development Center, Hitachi, Ltd., 20-1, Josuihon-cho 5chome, Kodaira-shi, Tokyol , Japan *2 Semiconductor Components Operations, Dai Nippon Printing Co.,Ltd., *3 Semiconductor Components Laboratory, Dai Nippon Printing Co.,Ltd., 2-1, Fukuoka 2chome, Kamifukuoka-.shi, Saitama , Japan ABSTRACT Techniques of fabricating altpsms of single trench structure by quartz etching have been developed. A two-step etching process was adopted to make the side-etching structure. Shifter areas were etched by dry-method in first step and by wet-method in second step. In the experiments, by a RIE type dry-etching machine, a hydrofluoric (HF) acid etching system, a phase measurement system (MPM-248) and 6025-mask plate were used. We optimized dryetching parameter, such as pressure, RF power and composition of gas mixture ratio. The smallest phase difference between the device-pattern and the monitor-pattern was obtained in low pressure with inactive gas. The dry etching uniformity was improved and range value was reduced from 2.7 degrees to 1.1 degrees by using type B of the plate holder. In wet etching process, two modes of movement and two concentration of buffered HF acid were compared from the viewpoint of etching uniformity. The uniformity was reduced to two third of the benchmark condition. For A1tPSMs production quality, phase mean deviation is within +/-2 degrees and uniformities are within 2.5 degrees. The technique described in this study can be applied to 150 nm node altpsms fabrication and shows an extensibility to 130 nm node. Key word: phase-shift mask, altpsms, side-etching structure, dry etching, hydrofluoric acid etching, phase mean deviation, uniformities 1. INTRODUCTION Mask error enhancement factor (MEF) value becomes larger for the geometry smaller than exposure wavelength. Alternating phase shift masks (altpsms) are effective for reducing MEF value. A phase shift mask proposed by Levenson et al. consists of a glass plate, chromium opaque patterns, and phase shifters'. The typical structures of altpsms are SOG (spin on glass) on Cr type2, SOG under Cr type3 and quartz (Qz) trench type4 (single trench and dual trench). Qz-trench type can be produced in an easier process than SOG type and keep off a phase uniformity error caused by difference of SOG thickness. We have been developing a technique of fabricating altpsms of single trench structure by quartz etching. A1tPSMs have been applied to 180 nm memory device productions with KrF lithography. According to the miniaturization of the pattern size of ULSI devices, phase mean deviation In Photomask and Next-Generation Lithography Mask Technology VII, Hiroaki Morimoto, 394 Editor, Proceedings of SPIE Vol (2000) X1001$15.OO

2 and phase uniformity are more and more important. ITRS roadmap in 1999 shows altpsms phase mean deviation is degree and its uniformity is +/-2 degree for l3onm techno1ogy5. The most important development subjects of altpsms fabrication are on accurate phase control and shifter-defect free process. This paper will discuss a new phase control technique, which includes improvement of etching uniformity and precise etch-depth control. 2. EXPERIMENTAL 2.1 Fabrication process A two-step etching process was adopted to make the side-etching structure as shown in Fig.1. Shifter areas were etched to about 60 degrees by a RIE type dry-etching machine in the first step. After resist removing, phase-angles of monitor pattern were measured by using the direct phase measurement system, MPM-248 (Lasertec Corporation). Followed by the second step, additional etching was done by a hydrofluoric (HF) acid etching system to form the side-etching structure. The etching time was so determined that the phase is adjusted to be 180 degrees, based on the phase value obtained in the first step. 2.2 Improvement of etching uniformity and precise etch-depth control Dry etching process To reduce the phase angle difference that was caused by micro-loading effect between the device-pattern and the monitor-pattern, we optimized dry-etching parameters, such as pressure, RF power, and composition of the gas mixture ratio. We investigated phase difference between monitor and device pattern, etching uniformity, etching-rate and selectivity for three conditions. The uniformity was confirmed with 25 points (120mm X 120mm area) on 6025 mask plate. Species ofgas were tetra fluorocarbon (CF4) for an active gas and an inactive gas. The effect of the plate holder shape on etching uniformity was evaluated HF etching process Two modes of movement in the dipping method (simple and complex movement) and two concentrations of buffered hydrofluoric (HF) acid were compared from the viewpoint of etching uniformity. The uniformity was confirmed with 49 points phase measurement (120mm X 120mm area) on 6025 mask plate. 3. RESULTS AND DISCUSSION 3.1 Characteristics for dry etching The experimental results of the dry etching are summarized in Table I. The results for high pressure, low pressure, and low pressure with an inactive gas are shown in No.1 - No.3, respectively. Quartz/resist selectivity is 0.4, 1.2, and 1.4 respectively, for No.2 and No.3 are sufficient to etch 60 degree. The smallest phase difference between the device-pattern and the monitor-pattern was obtained in condition No.3. The uniformities in the three conditions are almost the same, but they are not enough for our target specification. Fig.2 shows SEM micrographs in the three conditions. The trench patterns look almost the same. We chose 395

3 condition No.3 because of the smallest phase difference between two different pattern sizes, then the smallest micro-loading effect. There is nothing wrong with SEM micrograph of altpsms structure using condition No.3 dry etching (Fig.3). Table II shows the results on the dry etching uniformity for the two types of plate holders. Type A consists of Aluminum (Al) and type B is set up quartz plate on type A. Dry etching condition is No.3. The uniformity reduces from 2.7 degrees to 1.1 degrees by using type B holder. The holder plate setting up quartz has good performance for etching uniformity. 3.2 Characteristics of wet etching The results of the wet-etching uniformity are shown in Fig.4 and Table III. The etching uniformity of the benchmark condition, simple movement and HF acid concentration 1 (relative value), is 2.5 degrees. The other hand, we investigated the uniformity of changed movement method or lower HF acid concentration. That of each condition is 1.8, 1.2 degrees respectively, so the latter reduces to half of that of the benchmark condition. Since a complex movement is not suitable for automated production process, a simple movement with HF acid at a low concentration was adopted. Its disadvantage is only long etching time. 3.3 Trial production result Fig.5 shows the results of altpsms production quality about phase mean deviations and uniformities. The number ofthe sample plates is 12, and their mean deviations are within +1-2 degrees and uniformities are within 2.5 degrees. 4. SUMMARY The smallest micro-loading effect was obtained in low pressure with inactive gas. The dry etching uniformity reduced from 2.7 degrees to 1.1 degrees by using type B of the plate holder to set up quartz plate. In a wet etching, the uniformity was reduced from 2.5 degrees to 1.2 degrees by using lower concentration HF acid. For altpsms production quality, phase mean deviation is within +1-2 degrees and uniformities are within 2.5 degrees. We can supply defect free altpsms for 150 nm node. The results in this study indicate an extensibility of this technique to 130 nm. 5. ACKNOWLEDGMENT The authors would like to appreciate for technical advice to Mr.M.Kobayashi (of Hitachi) and also to appreciate to Mr.Y.Gotoh, Mr.N.Hasegawa and Mr.K.Suko (of Hitachi) for technical support. 6. REFERENCES 1) Levenson M.D., et al. "Improving Resolution in Photolithography with a Phase- Shifting Mask", IEEE, ED-29, 12, (1982) 396

4 2) H.Hoga, Y.Koizumi, F.Mizuno & H.Nakaune : Practical Method of Phase-Shifting Mask Fabrication", SPIE, vol.2254, 14 (1994) 3) Yoichi Takahashi, Hiroshi Fujita, Hisashi Moro-oka, Masa-aki Kurihira, Kazuo Suura, and Haitake Sano : "Primary Processes in E-Beam and Laser Lithographies for Phase-Shifting Mask Manufacturing", SPIE, vol. 1674, (1992) 4) J.Ushioda, Y.Seki, H.Tanabe & Y.Ogura : "Sub 0.1 jm ArF Excimer Laser Lithography with Alternating Phase-Shifting Masks", SPIE, vol.2512 (1995) 5) THE INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS: LITHOGRAPHY, , (1999) 1stEtching (Dry Etch) F >- : Fig. 1 Two - step Etching process 2nd Etching (Wet Etch) Table I Experimental results of dry etching Condition No.1 No.2 [ No.3 Pressure High Low Low RFpower(W) A 2/3A A Gas CF4 CF4 CF4 w/inactive gas Etching-rate (nm/mm.) Selectivity Phase difference between Monitor and device pattern (degree) Etching uniformity (Range: degree)

5 (1).. (2)- jy 'Y I (3)( r" 1 :i (1) Condition No.1 (2) Condition No.2 (3) Condition No.3 Fig.2 SEM micrographs in three dry etching conditions (after dry etching) Fig.3 SEM micrograph of altpsms structure using condition No.3 Table II Dry etching uniformity for two substrate holders Dry etching condition No.3 No.3 [Substrate holder type Type A Type B FEtching uniformity (degree) 2.7 I.1 398

6 (1) ' ( (7 Ā B C D 8 F G (I) Benchmark condition (2) Complex movement (3) HF acid concentration 0.5 (Relative value) (2) (3) ] ABC0 E F G 6 2 fl i O U U106 10] A B CD E F 6 5 a O U ( Fig.4 Phase uniformity of each wet etching method Table ifi Experimental results of wet etching uniformity Movement during dipping Simple Complex Simple HF-acid Concentration 1 (Relative value) 0 S I Etching uniformity (degree)

7 ba 4 C 1.5 a) Sample No Sample No Fig.5 Trial production results of phase mean deviation and uniformity 400

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS

INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS INTERNATIONAL ELECTRONIC CONFERENCE ON SENSORS AND APPLICATIONS 01 16 JUNE 2014 AUTHORS / RESEARCHERS A.F.M. Anuar, Y. Wahab, H. Fazmir, M. Najmi, S. Johari, M. Mazalan, N.I.M. Nor, M.K. Md Arshad Advanced

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM Journa' of Photopolymer Science and Technology Volume 4, Number 3 (1991) 361-369 KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM MASAYUKI ENDO, YOSHIYUKI TAM, TOSHIKI YABU, SHOZO OKADA MASARU SASAGO

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication B.K.A.Ngoi, K.Venkatakrishnan, P.Stanley and L.E.N.Lim Abstract-Photomasks are the backbone of microfabrication industries. Currently

More information

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H. Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process R. P. Rocha, J. P. Carmo, and J. H. Correia Department of Industrial Electronics, University of Minho, Campus

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Wing H. Ng* a, Nina Podoliak b, Peter Horak b, Jiang Wu a, Huiyun Liu a, William J. Stewart b, and Anthony J. Kenyon

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

MANUAL HIGH PRECISION MASK & BOND ALIGNER

MANUAL HIGH PRECISION MASK & BOND ALIGNER Testing Wet Processing Bonding Lithography MANUAL HIGH PRECISION MASK & BOND ALIGNER www.suss.com Features and benefits Universal Full-Field Exposure Aligner Top / bottom side / infrared alignment Accurate

More information

GEM-Type Detectors Using LIGA and Etchable Glass Technologies

GEM-Type Detectors Using LIGA and Etchable Glass Technologies 870 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 3, JUNE 2002 GEM-Type Detectors Using LIGA and Etchable Glass Technologies S. K. Ahn, J. G. Kim, V. Perez-Mendez, S. Chang, K. H. Jackson, J. A. Kadyk,

More information

Strategies for low cost imprint molds

Strategies for low cost imprint molds Strategies for low cost imprint molds M.P.C. Watts, Impattern Solutions, 9404 Bell Mountain Drive Austin TX 78730 www.impattern.com ABSTRACT The Cost of ownership (COO) due to the mold can be minimized

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Increased performance requirements in terms of the environment, safety and comfort have recently been imposed on automobiles to ensure efficient

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

3D flow focusing chips

3D flow focusing chips Unit 1, Anglian Business Park, Orchard Road, Royston, Hertfordshire, SG8 5TW, UK T: +44 (0)1763 242491 F: +44 (0)1763 246125 E: sales@dolomite-microfluidics.com W: www.dolomite-microfluidics.com Dolomite

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Shooting for the 22nm Lithography Goal with the Coat/Develop Track SOKUDO Lithography Breakfast Forum 2010 July 14 (L1) Three (3) different exposure options for 22nm: Public External (L1) MAPPER Lithography

More information

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING Siti Aisyah bt. Ibrahim and Chong Wu Yi Photonics Research Center Department of Physics,

More information

Mobile Combi-Laser MBE. system

Mobile Combi-Laser MBE. system Mobile Combi-Laser MBE system Model : MC-LMBE Combinatorial research and development by a compact, high performance,and fully PC and controlled system The main body of the system is a UHV laser

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Phase-Shift Mask Issues for 193 nm Lithography

Phase-Shift Mask Issues for 193 nm Lithography Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 5-17-1994 Phase-Shift Mask Issues for 193 nm Lithography Bruce W. Smith Rochester Institute of Technology Suleyman

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Fabrication method of quartz aspheric microlens array for turning mask

Fabrication method of quartz aspheric microlens array for turning mask Opto-Electronic Engineering Article 018 45 4 1 1300 400714 Reactive ion etching Single point diamond turning Photoresist Glass substrate 5 mm 5 mm 1.155 nm 0.47% O439 A. [J]. 018 45(4): 170671 Fabrication

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

Oxime Sulfonate Chemistry for Advanced Microlithography

Oxime Sulfonate Chemistry for Advanced Microlithography Journal of Photopolymer Science and Technology Volume 20, Number 5 (2007) 637-642 @TAPJ Oxime Sulfonate Chemistry for Advanced Microlithography Hitoshi Yamato, Toshikage Asakura, Yuichi Nishimae, Akira

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH AC 2011-1595: EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH Shawn Wagoner, Binghamton University Director, Nanofabrication Labatory at Binghamton University,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

(51) Int Cl.: G03F 7/20 ( )

(51) Int Cl.: G03F 7/20 ( ) (19) TEPZZ_6 ZZ B_T (11) EP 1 62 003 B1 (12) EUROPEAN PATENT SPECIFICATION (4) Date of publication and mention of the grant of the patent: 07.01.1 Bulletin 1/02 (21) Application number: 0474129.7 (22)

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information