KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

Size: px
Start display at page:

Download "KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM"

Transcription

1 Journa' of Photopolymer Science and Technology Volume 4, Number 3 (1991) KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM MASAYUKI ENDO, YOSHIYUKI TAM, TOSHIKI YABU, SHOZO OKADA MASARU SASAGO and NOBORU NOMURA Semiconductor Research Center, Matsushita Electric Ind. Co., Ltd. Moriguchi 570, JAPAN New KrF excimer laser resist was developed. The chemically amplified positive resist, named ASKA, attained high sensitivity (3OmJ/cm2), high resolution (0.3 micron) and high stability. This resist was successfully applied to the fabrication of 64M DRAM with KrF excimer laser stepper (N.A 0.42). This paper describes the chemistry and lithographic characteristics of ASKA, followed by the demonstration of actual pattern fabrication of 64M DRAM. i 1. Introduction As the density of LSI, or DRAM increases, the minimum feature size patterns required for lithography technology is becoming below 0.4 micron. KrF excimer laser lithography has been one of the most promising technology since AT&T published their paper in 1986[1]. There are lots of efforts for this lithography and it was reviewed[2]. Some problems, however, are retarding the accomplishment and production-use of KrF excimer laser lithography. The low power and unstability of the KrF excimer laser is not enough due to the short life time of a narrow band system of a laser. The present deep UV resist cannot be applicable to KrF excimer laser lithography because it has low sensitivity and low resolution. The alternative new lithography technology, which is phase-shift mask[3] or EB cell projection [4], has been proposed. There are still many difficulties such as huge data treatments or expensive machine. Received Accepted April 21, 1991 May

2 We have developed new excimer laser and excimer laser resist to realize the actual use of KrF excimer laser lithography. The laser is PCR ( Poralization Coupled Resonator ) type laser[5], which attained high power and long life time. The resist is positive chemically amplified resist[6], which achieved high sensitivity, high resolution and high stability. In this paper, we report on the characteristics of the new resist and the success of the fabrication of 64M DRAM using this resist. 2. Chemistry of the positive chemically amplified resist The chemically amplified positive resist developed here is named ASKA (Alkaline Soluble Kinematics using Acid generating positive resist). ASKA is composed of a polymer, a photo acid generator and a solvent. The polymer is polyvinylphenol-type polymer, which becomes alkaline soluble by an acid through the photo acid generator upon KrF excimer laser irradiation. The transmittance of ASKA for 248nm is 44% for ASKA 1 (Fig. 1) and 65% for ASKA2 (2nd ASKA version) [7] (Fig.2). Fig. 1 UV Characteristics of ASKA 1 Fig.2 UV Characteristics of ASKA2 362

3 3. Experimental ASKA1 or ASKA2 was spun on a substrate to a thickness of 1.0 micron. Exposure was done on a KrF excimer laser stepper (Nikon NSR-1505EX, N.A0.42) using our developed PCR type excimer laser. After the post exposure baking of 90sec. at 95 deg., resist pattern was fabricated by 60sec. development of TMAH developer. 4. Lithographic characteristics of the positive chemically amplified resist Sensitivity Fig.3 shows sensitivity of ASKA2. It is found that the resist has high sensitivity (25mJ/cm2) and high gamma value(3.0). Resolution and pattern profile Resist pattern profiles of ASKA1 or ASKA2 are compared in Fig.4 or Fig.5, respectively. These SEM photographs represent 0.4 micron down to 0.3 micron line-and-space patterns on Si substrate. Both resists can successfully fabricate sub-half micron patterns with high aspect ratio. ASKA2 exhibits rectangular pattern profile without resist thickness loss, to which the higher transmittance of ASKA2 contributed. Fig.6 shows contact hole patterns of ASKA2. Straight 0.4 micron contact hole patterns, which are applicable to making 64M DRAM, were realized with large depth of focus range of 1.2 micron. Linewidth control The linewidth control of ASKA2 on depth of focus and exposure energy are investigated in Fig.7 and Fig.8, respectively It is seen that there is 2.1 micron budget of depth of focus and 5mJ/cm2 budget of exposure energy for 0.4 micron line-and-space patterns (0.4 micron+/-10% linewidth change allowed). These values are much lager than a case of exposure by g-line or i-line. ASKA2 attained the advantage of KrF excimer laser exposure. Mask linearity The relationship between the mask and pattern size was linear down to 0.4 micron on ASKA2(Fig.9).10% mask vias can lead it to 0.3 micron pattern fabrication. Stability Unstability of a chemically amplified resist on a delay time after exposure has been indicated[8]. Fig. 10 shows the excellent stability of ASKA2. The 0.4 micron patterns of ASKA2 do not change at all during a half hour delay. This means that this resist could be easily used for production of LSI. 363

4 Multiple interference effect and bulk effect on patterns Linewidth change of ASKA2 versus resist thickness change on Si or SiN substrate is shown in Fig. 11 or Fig. 12. As found in Fig. 13, high reflectivity of the substrate for KrF excimer laser wavelength (248 nm) enhances the effect of multiple interference between the resist film and the substrate, which enlarges the Linewidth change. This problem is connected with the short wavelength of KrF excimer laser and it has to be resolved for actual device fabrication. Fig.14 is the case on TiNJAISiCu. TiN as the anti-reflective layer decreases the reflection from the substrate, so the linewidth change of ASKA2 decreased drastically. This approach(anti-reflective layer) might be a candidate for the multiple interference effect. Due to the high aspect ratio pattern profile of ASKA2, the bulk effect(total tendency of the linewidth change on the resist thickness change) is very small for any substrate (Fig.11,12,14). ".r.,--... _..,,. 3J '..._.~.~..,..., Fig.3 Exposure Characteristics of ASKA2 Fig.5 Line-and-Space Patterns of ASKA2 Fig.4 Line-and-Space Patterns of ASKA 1 364

5 j Photopolym. Sci. Technol., Vol. 4, No.3, 1991 Fig.9 The Relationship Between Mask Size and Pattern Size of ASKA2 Fig.6 Contact Hole Patterns of ASKA2 Fig.7 The Relationship Between Depth of Focus and Linewidth of ASKA2 Fig.8 The Relationship Between Exposure Energy and Linewidth of ASKA2 365

6 Fig. 10 Delay Time Comparison of ASKA2 Fig.13 Reflectivity of Substrates Fig. 1 l The Relationship Between Resist Thickness and Linewidth of ASKA2 on Si Substrate Fig. 12 The Relationship Between Resist Thickness and Linewidth of ASKA2 on Silo Substrate 366

7 Fig.14 The Relationship Between Resist Thickness and Linewidth of ASKA2 on TiN/A1SiCu Substrate 5. Application of the positive chemically amplified resist to the actual fabrication of 64M DRAM Specification of the 64M DRAM The specification of 64M DRAM developed in our laboratory is as followings[9]: * Design rule micron * Cell size x 2.Opm2 * Cell type stacked storage * Chip size x 21.60mm2 Actual device patterns Fig. 15 demonstrates the separation pattern of ASKA2. Rectangular 0.4 micron line and 0.5 micron space patterns on SiN/Si02 were fabricated. 0.4 micron word line patterns were achieved on HTO/poly-Si(Fig.16). The high resolution of ASKA2 was not prevented from notching by the topography of the separation pattern. 0.4 micron bit line patterns on polyside were similarly obtained(fig.17). Tunnel type storage electrode patterns after etching was shown in Fig micron storage pattens and 0.4 micron spaces were successfully fabricated. Fig.19 exhibits 0.4 micron line-and-space connection patterns of ASKA2 on TiN/A1SiCu. This SEM shows patterns on 0.7 micron step. One of the most difficult pattern fabrication in making 64M DRAM was easily done with steep wall profile. It is found in Fig.20 that the excellent etching patterns reproduced the straight resist pattern profile. 367

8 I Photopolym. Sci. Technol., Vol. 4, No.3, 1991 Fig. 15 Separation Patterns of ASKA2 Fig.16 Word Line Patterns of ASKA2 Fig.17 Bit Line Patterns of ASKA2 Fig. 18 Storage Cell Patterns After Etching Fig. 19 Connection Patterns of ASKA2 Fig.20 Connection Patterns After Etching 368

9 6. Conclusions We have developed high performance KrF excimer laser resist. The positive chemically amplified resist, ASKA, showed excellent lithographic characteristics(sensitivity, resolution, linewidth control, mask linearity, stability, bulk effect), which meet the requirements for the fabrication of 64M DRAM. 0.4 micron-rule 64M DRAM was successfully fabricated on KrF excimer laser lithography. ASKA was applied to any critical layer of 64M DRAM including severe topography and reflection and it resulted in great success. We verified KrF excimer laser lithography is very promising for 64MDRAM production. We'll develop 256M DRAM with the extension of this excimer laser lithography. Acknowledgements The authors thank Dr.S.Horiuchi and Dr.T.Takemoto for their encouragement and support on this work. The authors also thank Mr.Y.Naito, Mr.S.Matsumoto and Mr.Y.Nagai for their useful discussions. References 1. V.Pol et al., Proc.of SPIE, 633 (1986) D.J.Ehrlich et al., J. Vac. Sci. Technol., B 3 (1985) M.D.Levenson et al., IEEE, ED-29 (1982) Y.Nakayama et al., J. Vac. Sci. Technol., B8 (1990) N.Furuya et al., Proc. of SPIE,1264 (1990) Y.Tani et al., Tech. digest of VLSI Sympo., (1990) p M.Endo et al., Proc. IEICE, (1991) SDM (in Japanese). 8.O.Nalamasu et al., Proc. of SPIE,1262 (1990) T.Yamada et al., ISSCC Digest of Tech. Papers, (1991) p

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Oxime Sulfonate Chemistry for Advanced Microlithography

Oxime Sulfonate Chemistry for Advanced Microlithography Journal of Photopolymer Science and Technology Volume 20, Number 5 (2007) 637-642 @TAPJ Oxime Sulfonate Chemistry for Advanced Microlithography Hitoshi Yamato, Toshikage Asakura, Yuichi Nishimae, Akira

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. Gower Exitech Limited Hanborough Park, Long Hanborough, Oxford

More information

PHOTOPOLYMER FOR RECORDING HOLOGRAMS. Hideo Tanigawa, Taichi Ichihashi, and Takashi Matsuo*

PHOTOPOLYMER FOR RECORDING HOLOGRAMS. Hideo Tanigawa, Taichi Ichihashi, and Takashi Matsuo* PHOTOPOLYMER FOR RECORDING HOLOGRAMS Hideo Tanigawa, Taichi Ichihashi, and Takashi Matsuo* MY0001406 Osaka National Research Institute, AIST 1-8-31, Midorigaoka, Ikeda, Osaka 563-8577, Japan Phone: +81-727-51-9537,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators

Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Organic Antireflective Coatings for Photomask Fabrication using Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2, and C. Grant Willson 1 September 15, 1999 1 Department

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Acceleration of EUV Resist Development with EB Tool

Acceleration of EUV Resist Development with EB Tool C/N:1220710068 Acceleration of Resist Development with Tool Takeyoshi Mimura, Takako Suzuki, Makiko Irie, Takeshi Iwai TOKYO OHKA KOGYO CO., LTD. Advanced Material Development Division 1 2007 L Symposium

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Supporting Information Nanofocusing of circularly polarized Bessel-type plasmon polaritons

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Robert Magnusson, Ph.D.

Robert Magnusson, Ph.D. Robert Magnusson, Ph.D. Texas Instruments Distinguished University Chair in Nanoelectronics Director of the Nanophotonics Device Group Co-founder and Chief Technical Officer of Resonant Sensors Incorporated

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

3-5μm F-P Tunable Filter Array based on MEMS technology

3-5μm F-P Tunable Filter Array based on MEMS technology Journal of Physics: Conference Series 3-5μm F-P Tunable Filter Array based on MEMS technology To cite this article: Wei Xu et al 2011 J. Phys.: Conf. Ser. 276 012052 View the article online for updates

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

Anti-reflective coating for multipatterning lithography

Anti-reflective coating for multipatterning lithography Anti-reflective coating for multipatterning lithography Douglas J. Guerrero, Steve Gibbons, Joyce Lowes, Ramil Mercado Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT New bottom

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016 ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING Giles Lloyd Flex Europe Conference, 25th October 2016 Organic Electronics: Photoligthography or Printing? Lithography Printing Enabling flexible TFT sheet-fed

More information

Contact optical nanolithography using nanoscale C-shaped apertures

Contact optical nanolithography using nanoscale C-shaped apertures Contact optical nanolithography using nanoscale C-shaped s Liang Wang, Eric X. Jin, Sreemanth M. Uppuluri, and Xianfan Xu School of Mechanical Engineering, Purdue University, West Lafayette, Indiana 47907

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

DEVELOPMENT PROCESS FOR PVCz HOLOGRAM

DEVELOPMENT PROCESS FOR PVCz HOLOGRAM Journal of Photopolymer Science and Technology Volume 4, Number 1(1991) 127-134 DEVELOPMENT PROCESS FOR PVCz HOLOGRAM Yasuo YAMAGISHI, Takeshi ISHITSUKA, and Yasuhiro YONEDA Fujitsu Laboratories Ltd. Morinosato

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

for alternating phase shift mask fabrication

for alternating phase shift mask fabrication Practical phase control technique for alternating phase shift mask fabrication Miho Takahashi,*l Akihiro Miyake,*2 Hidetaka Saitou,*2 Hiroyuki Miyashita,*3 and Shiaki Murai*2 *1 Mask Engineering Development

More information

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata,

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, JAIST Reposi https://dspace.j Title Fabrication of a submicron patterned using an electrospun single fiber as mask Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, Citation Thin Solid Films, 518(2): 647-650

More information

The Laser Processing of Diamond and Sapphire

The Laser Processing of Diamond and Sapphire The Laser Processing of Diamond and Sapphire Neil Sykes Micronanics Limited neil@micronanics.com Diamond Diamond has the highest hardness and thermal conductivity of any bulk material 10/10 on the Mohs

More information

Resonant Tunneling Device. Kalpesh Raval

Resonant Tunneling Device. Kalpesh Raval Resonant Tunneling Device Kalpesh Raval Outline Diode basics History of Tunnel diode RTD Characteristics & Operation Tunneling Requirements Various Heterostructures Fabrication Technique Challenges Application

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Excimer laser projector for microelectronics applications

Excimer laser projector for microelectronics applications Excimer laser projector for microelectronics applications P T Rumsby and M C Gower Exitech Ltd Hanborough Park, Long Hanborough, Oxford OX8 8LH, England ABSTRACT Fully integrated excimer laser mask macro

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Semiconductor Optical Active Devices for Photonic Networks

Semiconductor Optical Active Devices for Photonic Networks UDC 621.375.8:621.38:621.391.6 Semiconductor Optical Active Devices for Photonic Networks VKiyohide Wakao VHaruhisa Soda VYuji Kotaki (Manuscript received January 28, 1999) This paper describes recent

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

of Multi Color Polymer EL Devices the Photo-bleaching Method

of Multi Color Polymer EL Devices the Photo-bleaching Method 'I Journal of Photopolymer Science and Technology Volume 14,Number2(2001)317-322 2001TAPJ Fabrication using of Multi Color Polymer EL Devices the Photo-bleaching Method Satoshi Shirai and Junji Kido Graduate

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns

Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns CHINESE JOURNAL OF PHYSICS VOL. 41, NO. 2 APRIL 2003 Surface Topography and Alignment Effects in UV-Modified Polyimide Films with Micron Size Patterns Ru-Pin Pan 1, Hua-Yu Chiu 1,Yea-FengLin 1,andJ.Y.Huang

More information