PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10

Size: px
Start display at page:

Download "PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10"

Transcription

1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2008 VOLUME 24, ISSUE 11 Wafer Plane Inspection Evaluated for Photomask Production Emily Gallagher, Karen Badger, and Mark Lawliss, IBM Corporation, 1000 River Street, Essex Junction, VT Yutaka Kodera, Toppan Photomask, Inc., 1000 River Street, Essex Junction, VT Jaione Tirapu Azpiroz, IBM Corporation, 2070 Route 52, Hopewell Junction, NY Song Pang, Hongqin Zhang, Eugenia Eugenieva, Chris Clifford, Arosha Goonesekera, and Yibin Tian, KLA-Tencor Corporation, 160 Rio Robles, San Jose, CA 95134, USA ABSTRACT Wafer Plane Inspection (WPI) is a novel approach to inspection, developed to enable high inspectability on fragmented mask features at the optimal defect sensitivity. It builds on well-established high resolution inspection capabilities to complement existing manufacturing methods. The production of defect-free photomasks is practical today only because of informed decisions on the impact of defects identified. The defect size, location and its measured printing impact can dictate that a mask is perfectly good for lithographic purposes. This inspection - verification - repair loop is timeconsuming and is predicated on the fact that detectable photomask defects do not always resolve or matter on wafer. This paper will introduce and evaluate an alternative approach that moves the mask inspection to the wafer plane. WPI uses a high NA inspection of the mask to construct a physical mask model. This mask model is used to create the mask image in the wafer plane. Finally, a threshold model is applied to enhance sensitivity to printing defects. WPI essentially eliminates the non-printing inspection stops and relaxes some of the pattern restrictions currently placed on incoming photomask designs. This paper outlines the WPI technology and explores its application to patterns and substrates representative of 32nm designs. The implications of deploying Wafer Plane Inspection will be discussed. 1. Introduction It is the role of photomask manufacturers to make the best possible masks at the lowest possible cost. Certain defects play a large role in mask quality since they can create repeating defects on wafers when Continues on page 3. TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9 CALENDAR For a list of meetings see page 10 Figure 1. Flowchart of Wafer Plane Inspection and the three steps: imaging of the mask, recovery of the mask pattern under test, simulation of the aerial image and the application of a threshold to obtain the test wafer image. The corresponding reference wafer image is obtained through a similar flow shown on the lower row. Defects are detected by comparing the reference and test wafer images.

2 Editorial Help Wanted: Improved Mask Materials BACUS News is published monthly by SPIE for BACUS, the international technical group of SPIE dedicated to the advancement of photomask technology. Circulation Tom Faure, Mask Process Development Engineering In reflecting on this year s Photomask conference and the panel discussion, it is clear that the pace of progress in 4x optical mask materials development needs to be accelerated. The 22 nm logic node is clearly the most challenging that mask makers have faced to date. On the semiconductor lithography side, there is no relief for the mask maker in the form of improved optical steppers with higher numerical aperture. We are all parked at the same NA=1.35 that is currently in use at 32 nm node. In addition, none of the alternative lithography strategies such as EUVL, NIL, or e-beam direct write will be ready for 22 nm manufacturing. The pressure is squarely on the 4x optical mask maker to deliver masks that enable a 22 nm lithography solution. A key part of enabling an optical lithography solution at 22 nm requires that the mask maker support extremely aggressive OPC feature sizes on the reticle. An IBM OPC/RET engineering manager recently told me, OPC features on the order of 50% of the nominal half pitch of the technology node are needed. This implies that feature sizes on the order of 40 nm (4x) on the mask will be needed for 22 nm node critical level masks, and the situation will only get tougher at the 16 nm node. Furthermore, some computational lithography approaches for extending optical lithography require primary images on the mask that surpass the requirements listed in the current ITRS road map. Consequently, a significant improvement in minimum feature size capability on photomasks is needed. The materials properties and film thicknesses of the photomask blank as well as the e-beam resist material used for patterning the blank have a huge influence on the ultimate minimum feature size that can be achieved on the photomask. In order to achieve manufacturing worthy nm feature size capability on photomasks significant improvements in mask materials is needed. Development of improved e-beam resist materials capable of nm resolution with reasonable sensitivity appears to be one of the most critical needs and was highlighted during the BACUS panel discussion by Nuflare. It is no coincidence that 1x masks for nanoimprint lithography are being built using non-car resists such as ZEP 520 in order to achieve the nm feature sizes needed. Unfortunately use of the currently available non-car resists is not an option for 4X masks due to prohibitively long e-beam write times (estimate 1 week per mask) using current e-beam tooling. In addition, simply thinning the current chemically amplified e-beam resists such as FEP-171 does not result in improved resolution performance. As Nuflare highlighted, development of new and improved e-beam resist materials with acceptable sensitivity, high resolution, reasonable line edge roughness, and acceptable dry etch resistance for 4x optical mask making is needed. Historically the pace of resist materials development for mask making has been extremely slow: PBS resist in the 1970 s and 1980 s, ZEP resist in the mid-1990 s for 180 nm node, FEP 171 resist in early 2000 for 130 nm node. Due to the small size of the e-beam resist market, there are very few companies (I m aware of two) that are willing to invest any resource in developing new e-beam resists. Mask blanks development has also been an area of very slow progress historically. Chrome has been in use for binary masks for decades. Mitsubishi patented the idea of using metal silicide films such as molybdenum silicide as an alternative to chrome for binary masks in the 1980 s. Mask blanks suppliers such as Shin Etsu and Hoya only started offering molybdenum silicide binary mask blanks in 2007 and 2008 respectively. To their credit, Shin Etsu and Hoya have recently started picking up the pace of improving the mask making materials properties of their mask blanks but further progress is needed. The new binary mask blanks based on moly silicide material are a huge step in the right direction, but there are no comparable mask blank alternatives for those companies that wish to stick with attenuated PSM for their 22 nm lithography solution. For mask blanks, the development of thinner mask film stacks that are much more dry etch friendly and that enable use of thin e-beam imaging resists is crucial in order to improve the minimum mask feature size to nm. It is both an exciting and challenging time to be in the mask business. Now more than ever the semiconductor industry is relying on mask makers to enable a lithography solution at 22 nm node. Failure to develop new and improved materials that give mask makers the tools necessary to deliver extremely high resolution 4x optical masks will put the 22 nm lithography node in jeopardy. Managing Editor/Graphics Linda DeLano Advertising Teresa Roles-Meier BACUS Technical Group Manager Pat Wight 2008 BACUS Steering Committee President Brian J. Grenon, Grenon Consulting Vice-President John Whittey, Vistec Semiconductor Systems, Inc. Secretary Warren Montgomery, CNSE/SEMATECH 2009 SPIE Photomask Chairs Larry S. Zurbrick, Agilent Technologies, Inc. Warren Montgomery, CNSE/SEMATECH International Chair Wilhelm Maurer, Infineon Technologies AG (Germany) Education Chair Wolfgang Staud, B2W Consulting Newsletter Editors Artur Balasinski, Cypress Semiconductor Corp. Warren Montgomery, CNSE/SEMATECH Sponsorships Teresa Roles-Meier, SPIE Members at Large Frank E. Abboud, Intel Corp. Michael D. Archuletta, RAVE LLC Uwe Behringer, UBC Microelectronics (Germany) Peter D. Buck, Toppan Photomasks, Inc. Ute Buttgereit, Carl Zeiss SMS GmbH (Germany) Thomas Faure, IBM Corp. Gregory K. Hearn, SCIOPT Enterprises Gregg A. Inderhees, KLA-Tencor Corp. Bryan S. Kasprowicz, Photronics, Inc. Kurt Kimmel, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) Paul Leuhrmann, ASML (Netherlands) Robert (Bob) Naber, Cadence Design Systems, Inc. Emmanuel Rausa, Oerlikon USA Inc. Douglas J. Resnick, Molecular Imprints, Inc. J. Tracy Weed, Synopsys, Inc. Banqui Wu, Applied Materials, Inc. P.O. Box 10, Bellingham, WA USA Tel: or Fax: SPIE.org customerservice@spie.org 2008 All rights reserved.

3 Volume 24, Issue 11 Page 3 Continued from cover. Figure 2. The left map is a WPI aerial image view of a 50nm line, 120nm pitch pattern. The cut line is shown at y=0. The corresponding intensity as a function of X is plotted at the right. Since the wafer anchor CD is 40nm, the threshold must be Figure 3. WPI and FDTD simulated CD is compared to resist CD in this bar chart on different anchor features. A single threshold resist was used in the WPI and FDTD models and demonstrated reasonable matching to the wafer. they are deployed in wafer fabs. Defects generally impact the cost of photomasks since defects reduce overall production yields and drive the need for an expensive suite of equipment to detect, analyze and repair them. The implications of this pressure on inspection requirements fall into two general categories: the inspection equipment itself and the process defects. The inspection equipment must ensure the quality of out-going masks without limiting the lithographic approach. Preferably, this is done with a high throughput. The process defects must be minimized by identifying and addressing process tool and material issues quickly. This is true whether the defects print or not. High sensitivity reticle inspection is required to accomplish this. At the same time, some mask defects do not print when imaged on wafer. These types of defects must be differentiated from defects that do print on wafer. While this has historically been handled with a verification step - either AIMSTM[1] or on wafer - it is better to sort the wafer impact at the inspection step itself. Wafer Plane Inspection (WPI) is an inspection mode offered by KLA-Tencor. WPI offers the possibility of eliminating mask restrictions imposed on OPC solutions by inspection tool limitations. Historically minimum design restrictions were required to avoid nuisance inspection stops and/or loss of sensitivity to defects. However, WPI must be evaluated to ensure that real defects on the wafer plane are identified, that the process flow runs smoothly, and that masks and designs are inspected to full specification. KLA-Tencor and IBM established an evaluation plan to test and evaluate the WPI inspection system. The model accuracy was tested relative to industry standard models and the inspection results were compared to reticle plane inspection results. This paper discusses some results that have emerged from that effort. 2. Simulation 2.1 Wafer Plane Inspection The mask industry relies heavily on high resolution reticle inspection: transmitted and/or reflected images of the mask are collected and compared to reference images. The reference can be another die on the mask or it can be the design database, altered to match the predicted image of the mask as observed by the high resolutions inspection optics. As the name implies, Wafer Plane Inspection moves the comparison plane to the wafer level. 2 This is accomplished in three basic steps as illustrated in Figure 1. The first step is to collect the high resolution images of the mask and reconstruct a physical model of the mask pattern under test, including any pattern defects that may be present. There is no need to be actinic at this point since the mask pattern recovery process depends on high resolution images, not specific wavelength of capture. This is called mask pattern recovery. The second step uses a lithographic simulation based on Hopkins equation to generate an aerial image of the recovered mask in the resist film. Simulations are intrinsic to modern lithography and Continues on page 4.

4 Page 4 Volume 24, Issue 11 Continued from page 3. Figure 4. The left is a 3D view of the reconstructed mask model. Aerial image simulation results are shown for FDTD (top right) and WPI (bottom right). All three images have cut lines indicating the location of subsequent intensity vs. position analysis. The smoothness of the FDTD images was achieved by over-sampling to eliminate pixel limitations of the aerial image. the WPI algorithm results have been compared to results from widelyused simulators to gain confidence in this fast engine. The final step applies a resist threshold to the aerial image to enhance detection and separate printable from non-printable defects. All of the WPI components have been used to generate manufacturing solutions either in photomask manufacturing or in OPC modeling. What is new is the marriage of traditional inspection and lithographic simulation and the level of communication required to apply WPI in manufacturing. First, mask material properties must be known because they are used during the mask recovery process; these are saved in a file during the initial setup for a mask substrate type. Next, the detailed illumination conditions including wavelength, polarization, NA, and aperture are needed for the aerial image simulation. Finally, an anchor feature must be identified on the mask and the corresponding wafer CD in resist supplied to establish a threshold. 2.2 Illumination Conditions The widespread use of AIMS TM analysis in mask manufacturing has familiarized the mask maker with litho conditions. NA, apertures, polarization and wavelength are required inputs for analysis. For WPI, the inclusion of this information has been improved by accepting the actual illumination source file itself. This is a two-dimensional map of not only the aperture, but also of any imperfections in the source that change the intensity. This information is also required for OPC generation and verification so that reusing it in this context is not creating extra work. The WPI technology accepts complex illumination and polarization profiles to be input as source files to the model so that details of the advanced lithography exposure conditions and optical character are captured without any hardware modifications. 2.3 Simulators With any new capability, confirmation relative to accepted standards is needed. Obtaining wafer confirmation can be reassuring, but is not required to answer all questions. For this paper, we compared simulated results to the WPI results. This could be done without requiring masks to be built or wafers to be printed. This approach increased our cycles of learning tremendously. There were two reference simulators used. Prolith2D is a standard Kirchhoff model of the mask used to form an Aerial image. It accounts for the magnitude and phase of the mask material transmission, but does not include 3D edge effects. Figure 5. WPI and FDTD aerial image intensity plots. With edge compensation, WPI matches 3D FDTD to within 1nm. Prolith3D uses Finite Difference Time Domain (FDTD) model. 3 FDTD is a standard rigorous electromagnetic solver that steps through time, updating the electric and magnetic field values at every point in space. It is considered the most accurate result in this study. Finally, WPI is also a simulator, and while designed specifically for application to mask inspection, it can be run off-line for verification purposes. WPI simulation capability has been evaluated rigorously to compare with Prolith2D and FDTD in this paper. 2.4 Resist Model Anchor features are another requirement of OPC. Critical design features are identified and measured in wafer resist to generate and verify the OPC models. Like illumination conditions, these are already stored with information about any model in use in manufacturing so that obtaining this piece of information is not creating new work. However, a strong relationship and good communication between the wafer fab and the mask manufacturer will be required to link OPC generation and mask fabrication so that the appropriate wafer

5 Volume 24, Issue 11 Page 5 Figure 6. Aerial image difference map showing a clear defect detected using WPI without and with edge compensation. Figure 7. Plots of normalized aerial image intensity as a function of position show the detection capabilities of WPI on clear (left) and opaque (right) defects. inputs are deployed. An example of threshold selection is detailed in Figure 2. The aerial image of a 50nm line/120nm pitch pattern is shown at left with a cut line indicated. The dimensions are wafer scale. The wafer anchor image indicated that the CD should be 40nm on wafer. Normalized intensity of the pattern along the cut-line is plotted as a function of position, and the threshold intensity yielding this wafer CD is found to be When OPC models are calibrated, a large number of structures are measured. Duplicating the OPC modeling effort would add complexity, increase the volume of calibration data, and increase the computational resource required. It is important to remember that mask inspection does not require the edge placement accuracy of an OPC model; the goal for mask inspection is sufficient accuracy to be sensitive to defects. The single threshold approach has enormous speed and manufacturability advantages, but it must also demonstrate the ability provide sufficient accuracy to detect defects. To explore this further, a single anchor feature was used to define the threshold, but other features were selected spanning a range of CD and pitches. FDTD and WPI simulations were run to generate aerial images and were analyzed with the single threshold to obtain wafer resist CDs. These are plotted in Figure 3. The FDTD and WPI simulations match extremely well, within 0.6nm. Both simulated results can deviate from wafer measurements by as much as 4nm, but this value is consistent with constant-threshold models used in the industry. Accuracy on the order of 5-8nm mean squared root CD error is typical and sufficient to detect even small deviations on image contours. More complex anchoring schemes 4 could be deployed to improve accuracy, but the information in section 2.5 suggests that this is not needed. 2.5 Defect Sensitivity The goal of this effort is to compare WPI to accepted FDTD models to evaluate sensitivity. The mask model was extracted from the GDS layout and periodic boundary conditions were assumed in x and y. The appropriate 193nm lithographic conditions were applied using a source map to describe the aperture. There was no need to carry this evaluation to the wafer plane, so comparisons are done in the aerial image plane. The general analysis approach is to compare WPI and FDTD results along the same cut line as shown in Figure 4. However, it is important to consider the mask itself. When light passes through a 3D clear feature, interactions with the sidewalls make the effective clear area smaller. This effect is captured in the FDTD model, but is not inherent to WPI unless an edge bias is applied. This bias compensates for the absorptive nature of finite sidewalls and is used to make clear features larger and opaque ones smaller. 5 With edge compensation, WPI matches 3D FDTD simulations to within 1nm as is illustrated in Figure 5. This matching is good but does not yet address sensitivity. The case of a very small clear defect in the location circled in Figure 4 is a good illustration. WPI and FDTD results were compared with and without the defect. The edge movement as a result of the defect is approximately the same: 5.3nm for FDTD and 5.8nm for WPI. The larger CD change for WPI indicates that sensitivity is maintained with WPI. This case is a good test case because of the small defect size. In general, edge effects are a bigger problem for small features and defects because the constant edge effect (EMF bias) is a larger fraction of the total feature size. For some masks, a single edge bias cannot be applied, so it is important to consider whether edge compensation is needed in order to Continues on page 6.

6 Page 6 Volume 24, Issue 11 N E W S Continued from page 5. Figure 8. A WPI die-to-database inspection defect review illustrating that a 12nm extension defect at the mask plane results in an 8nm CD error in the wafer plane. Figure 9. A Reticle Plane Inspection result (left) is compared to WPI (right) on an intentionally damaged mask. In the same inspection area, WPI identified 121 defects from a total of 2060 as critical. find defects with WPI. Aerial image difference maps of a clear defect are good qualitative metrics. Since the edge effects block light, the impact of the clear defect should be reduced with edge compensation. This result was modeled with WPI (Figure 6) and is an accurate representation of EMF edge effects on wafer. The opposite effect is found on opaque defects. Edge compensation increases sensitivity to opaque defects. Again, this is a realistic modeling of defect printability at the wafer plane. A review of the effect of defects without edge compensation is shown in Figure 7. For both clear and opaque defects, there are small printability differences when the edge compensation is added. While edge compensation would yield more accurate predictions, the WPI model without edge compensation is clearly sensitive to both clear and opaque defects. We have shown extension type defects as an example, but the same effects would be present in CD, pinhole and other pattern defects. 3. Inspection results and use case 3.1 Example of WPI Inspection Mask manufacturers have two goals from the mask inspection. The first is to find all the defects that could cause a printing impact on wafer. The second, more subtle, goal is to identify potential tool or process concerns, but not to overwhelm the inspection result with these non-printing detections. Using both WPI and Reticle Plane Inspection (RPI) concurrently will achieve both goals. WPI die-todatabase inspections have demonstrated good sensitivity, low nuisance and low false defect detection rates on a range of test masks. Two WPI die-to-database inspection examples are included here to highlight the value of WPI inspection. The first example shows a very small defect captured by WPI inspection. The review snapshot in Fig. 8 shows that the line extension defect of 12nm at the mask plane results in an 8nm CD error at wafer plane. Given the small magnitude of the mask plane deviation, particularly in transmission, this defect

7 Volume 24, Issue 11 Page 7 Figure 10. WPI and RPI results on a gate level mask. Broken sub resolution assists are identified in the conventional inspection, the mask plane and the WPI aerial plane. Only the wafer resist plane identifies the lack of printability. Figure 11. WPI and RPI results on a contact level mask. An opaque defect on quartz was identified with RPI, at the mask plane and in the WPI aerial plane. Only the resist plane captures the lack of printability. would require considerable post-inspection analysis in the absence of WPI capability. The second example is the more global plate inspection comparison between Reticle Plane Inspection (RPI) and WPI on the same mask shown in Fig 9. The mask was intentionally contaminated with thousands of surface pits. Most of these pit defects do not print. This defect type does not grow and would not degrade the mask performance when exposed on wafer. RPI captures on all the pit defects to provide process feedback, while WPI highlights only the printing defects. 3.2 Examples of WPI Filtering Simulation results like the ones shown in Section 2 illustrate that WPI is capable of detecting defects. However, the usecase is important. Since the defective mask itself is reconstructed at the initial step of modeling, what advantage does WPI provide? The answer is best provided by reviewing two WPI die-to-database inspection examples. The first example is a gate level inspection shown in Figure 10. The design included sub-resolution assist features (SRAFs). Clear defects were identified on the SRAFs in RPI reflection mode, RPI transmission mode, reconstructed mask plane, and WPI aerial image mode. When the WPI resist threshold is applied, the defect does not show because the entire shape is below the printing threshold. The feature is behaving as it was designed to and is anticipated. In practice, these clear defects could be analyzed with AIMS TM and passed. A simpler approach would be to screen them at inspection reducing the total number of inspection stops to review and the burden on AIMS TM. A second example is shown in Figure 11. In this case, the defect is a small opaque feature on quartz. Again, the opaque defects were identified in RPI reflection mode, RPI transmission mode, reconstructed mask plane, and WPI aerial image mode. Only the WPI resist threshold is able to filter this defect because its signal is below the printing threshold. 3.3 AIMS TM Correlation The appeal of an inspection filter is strong, but the relationship between defects and their printability must be verified before ignoring defects. There cannot be any risk to the mask user. To validate the WPI predictions, defects that were below the WPI printing threshold Continues on page 8.

8 Page 8 Volume 24, Issue 11 Continued from page 7. Figure 12. WPI die-to-database change in CD is correlated to AIMS TM change in CD on two production masks. Both line (diamonds) and contact (squares) shapes were included in the analysis. at wafer plane were verified to be non-printing with AIMS TM. Further validation is also required for those defects that are above the WPI printing threshold at wafer plane. Good correlations between WPI and AIMS TM have been shown before. 6 The general approach is to determine the change in wafer CD measured by WPI, and compare the result to AIMS TM measurement. Good correlation between AIMS TM and WPI delta CD has been established. Two examples are shown in Figure 12. It should be emphasized that there will always be a unique use case for AIMS TM in mask manufacturing as a small sample analysis tool. The WPI inspection mode is suited to area analysis, not to the site verification required for individual defect analysis. WPI will not replace AIMS TM, however, the close agreement between WPI and AIMS TM implies that an improved manufacturing flow will be possible. 4. Conclusions This paper describes the multi-layered testing of WPI capability for use in mask inspection. First, the accuracy of the WPI model in the wafer plane was investigated by comparing WPI results to full EMF models used in FDTD. Next, the need for EMF bias for adequate accuracy was explored. While accuracy is definitely improved with the edge compensation, it is not required for defect detection. Having demonstrated capability of the WPI model, the full WPI use-case was applied on masks. Two examples of the filtering power of WPI were given. Correlation to AIMS TM suggests that the filtering is consistent with current defect analysis methods. It is worth mentioning that AIMS TM will still be an essential part of the mask manufacturing line since post repair analysis is not well-suited to full plate mask inspection system. While additional quantification of sensitivity is pending, WPI is a promising option for the future. 5. Acknowledgments The authors would like to thank the KLA-Tencor RAPID WPI engineering and application teams for their development of the WPI technology and the IBM manufacturing and engineering teams for mask processing and AIMS work. 6. References [1] AIMS TM, a trademark of Carl Zeiss. [2] C. Hess, M. Wihl, R.-f. Shi, Y. Xiong, and S. Pang, A Novel Approach: High Resolution Inspection with Wafer Plane Defect Detection, Proc. SPIE 7028 (2008). [3] A. Taflove and S. C. Hagness, [Computational electrodynamics: the finite-difference time-domain method], Artech House, Boston, 3rd edition (2005). [4] N. Cobb, A. Zakhor, and E. Miloslavsky, Mathematical and CAD framework for proximity correction, Proc. SPIE 2726 (1996). [5] J. Tirapu Azpiroz, A. E. Rosenbluth, K. Lai, C. Fonseca, and D. Yang, Critical impact of EMF effects on RET and OPC performance for 45nm and beyond, J. Vac. Sci. Technol. B25 (1), 164 (2007). [6] R. Nagpal, F. Ghadiali, J. Kim, T. Huang, and S. Pang, Wafer plane inspection for advanced reticle defects, Proc. SPIE 7028, (2008).

9 Volume 24, Issue 11 Page 9 Sponsorship Opportunities Sign up now for the best sponsorship opportunities for Photomask 2009, Lithography Asia - Taiwan 2008, and Advanced Lithography Contact: Teresa Roles-Meier Tel: teresar@spie.org Advertise in the BACUS News! The BACUS Newsletter is the premier publication serving the photomask industry. For information on how to advertise, contact: Teresa Roles-Meier Tel: teresar@spie.org BACUS Corporate Members Aprio Technologies, Inc. ASML US, Inc. Brion Technologies, Inc. Coherent, Inc. Corning Inc. Gudeng Precision Industrial Co., Ltd. Hamatech USA Inc. Inko Industrial Corp. JEOL USA Inc. KLA-Tencor Corp. Lasertec USA Inc. Micronic Laser Systems AB RSoft Design Group, Inc. Synopsys, Inc. Toppan Photomasks, Inc. To receive announcements for these meetings, send an message to patw@spie.org; in the body of the message include the words subscribe info-bacus. Industry Briefs Key Challenges Remain for EUV Lithography Before Volume Production Adoption at the 22nm Half-Pitch At the seventh EUVL Symposium, organized by SEMATECH, key challenges remain for EUV lithography before volume production adoption at the 22nm half-pitch, according to attendees and an assessment from the research consortium. A fully integrated laser produced plasma (LPP) source collector module with effective mitigation of tin deposition and ion erosion was demonstrated at the symposium with 3 to 4 W at intermediate focus (IF). Long-term source operation with 100 W at the IF and 5 megajoule per day will be required for production. SEMATECH noted that on the ASML EUV alpha tool, power of discharge produced plasma (DPP) sources had now reached 500W. The ability to produce and maintain defect-free masks continues to improve, according to SEMATECH, however the industry will require more sensitive defect inspection tools for mask substrates and blanks. In part a commercial EUV aerial imaging tool will be required for patterned mask defect review. Concern was also raised over EUV metrology infrastructure as a whole. Photoresist sensitivity and Line Edge Roughness (LER) are still proving problematic. However, SEMATECH work has shown that 20 nm resolution images and 30 nm 1:1 contact hole images have been achieved. SEMATECH remains positive at this time that EUV Lithography will be ready for pilot line insertion in the timeframe. Read more about Toppan s EUV masks. ASML Presents Faster ArF Scanner, Says EUV on Track for 2010 By Laura Peters, Semiconductor International ASML said it is on schedule for 2010 delivery of its first EUV production system. At a research review meeting in Veldhoven, ASML introduced a new positioning measurement system to improve overlay control by 50% to <2 nm for a Twinscan immersion system. At a research review meeting in Veldhoven, Netherlands, ASML introduced a Twinscan immersion system that offers significant improvements in performance and productivity relative to previous scanners. Through a combination of faster stage technology and much lighter materials, the company said throughputs of >200 wph are possible. As device makers begin to require new double patterning techniques for 3X nm device patterning, the specification for overlay accuracy has become more than twice as tight as it is for single-exposure processing. The Twinscan NXT uses a new positioning measurement system to improve overlay control by 50% to <2 nm. In going from single exposure to double exposure, CD uniformity must be halved. Overlay specifications for 193 nm immersion single exposure, double exposure and EUV lithography. Necessary improvements in CD uniformity for single exposure, double exposure and EUV As with previous systems, the scanner uses one stage for alignment and construction of the focus map while the second wafer is being exposed on the second chuck. The measurement and exposure methods both had to be improved to deliver overall throughput improvements. The swap time between the two wafers was ~3 sec on previous platforms. With the new swapping method, the NXT reduces this time drastically. In addition, using higher rates of acceleration, wafer stepping times are reduced, improving productivity overall by 30%. Acceleration rates on the system are 88 m/sec2 at the reticle level, but this is scaled at the wafer level due to the 4X image reduction. An ASML spokesman said that at the 32 and 22 nm nodes, subtle phenomena such as global deformation of the wafer now need to be compensated for. The installed base of Twinscan systems is almost 900 tools. At the research meeting, ASML executives also provided an update on the status of the company s EUV technology, which has been in evaluation at IMEC (Leuven, Belgium) and Albany NanoTech (Albany, N.Y.). They said the company is on schedule for 2010 first production system delivery. Interestingly, many of the advances on the NXT immersion scanner stage were developed for EUV as well. The most important, necessary developments for EUV by 2010 include ramping up of the source power to ~100 W to allow throughputs in the 100 wph regime. Current throughput is ~4 wph as reported by IMEC. Technology advances for keeping the EUV reticles clean when they are not in the vacuum environment of the scanner must also be developed. Finally, photoresists for EUV are critical, but in the past several months materials suppliers have apparently made significant advancements.

10 Join the premier professional organization for mask makers and mask users! About the BACUS Group Founded in 1980 by a group of chrome blank users wanting a single voice to interact with suppliers, BACUS has grown to become the largest and most widely known forum for the exchange of technical information of interest to photomask and reticle makers. BACUS joined SPIE in January of 1991 to expand the exchange of information with mask makers around the world. The group sponsors an informative monthly meeting and newsletter, BACUS News. The BACUS annual Photomask Technology Symposium covers photomask technology, photomask processes, lithography, materials and resists, phase shift masks, inspection and repair, metrology, and quality and manufacturing management. Individual Membership Benefits include: Subscription to BACUS News (monthly) Quarterly technical meetings in the Bay Area Reduced registration rates at BACUS Photomask Technology annual meeting Eligibility to hold office on BACUS Steering Committee spie.org/bacushome Corporate Membership Benefits include: One Voting Member in the SPIE General Membership Subscription to BACUS News (monthly) One online SPIE Journal Subscription Exhibit Space discount of 8% at either the Photomask or Advanced Lithography Symposium Listed as a Corporate Member in the BACUS Monthly Newsletter spie.org/bacushome C a l e n d a r 2008 SPIE Lithography Asia - Taiwan 4-6 November Taipei, Taiwan SPIE Advanced Lithography February San Jose, California, USA spie.org/al Abstracts now being accepted Photomask Japan 8-10 April Hotel Pacifico Yokohama Yokohama, Japan You are invited to submit events of interest for this calendar. Please send to lindad@spie.org; alternatively, or fax to SPIE. SPIE is an international society advancing light-based technologies. International Headquarters P.O. Box 10, Bellingham, WA USA Tel: or Fax: customerservice@spie.org SPIE.org Shipping Address th St., Bellingham, WA USA 2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UK Tel: Fax: spieeurope@spieeurope.org

co-located with SPIE Scanning Microscopies

co-located with SPIE Scanning Microscopies 2014 co-located with SPIE Scanning Microscopies Location Monterey Marriott and Monterey Conference Center Monterey, California, USA Conference 16 18 September 2014 Exhibition 16 17 September 2014 Submit

More information

PHOTOMASK. The MEEF NILS divergence for low k1 lithography N E W S TAKE A LOOK INSIDE: JANUARY 2008 VOLUME 24, ISSUE 1

PHOTOMASK. The MEEF NILS divergence for low k1 lithography N E W S TAKE A LOOK INSIDE: JANUARY 2008 VOLUME 24, ISSUE 1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JANUARY 2008 VOLUME 24, ISSUE 1 The MEEF NILS divergence for low k1 lithography Richard Schenker,

More information

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE:

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE: PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2010 VOLUME 26, ISSUE 11 Best Paper Award Development and Characterization of a

More information

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2007 VOLUME 23, ISSUE 10 Mask Industry Assessment: 2007 Gilbert Shelden, Shelden

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MARCH 2010 VOLUME 26, ISSUE 3 Second Place Best Poster Award Mask Inspection Placement Maps

More information

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MAY 2010 VOLUME 26, ISSUE 5 EMCL10 Best Paper E-beam induced EUV photomask repair a perfect

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2012 Volume 28, Issue 4 Third Place Best Poster Award (PM11) Layout Decomposition and

More information

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2010 VOLUME 26, ISSUE 10 Second Place Best Poster EUV mask defect mitigation through

More information

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. December 2011 Volume 27, Issue 12 First Place Best Oral Paper PM11 8166-20 Evaluation of

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

PHOTOMASK. Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond

PHOTOMASK. Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2008 VOLUME 24, ISSUE 7 Phase-shifting photomask repair and repair validation procedure

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. September 2013 Volume 29, Issue 9 Best Oral Paper - JPM13 The Capability of High Magnification

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. July 2011 Volume 27, Issue 7 Invited Paper 7985-4 NGL Masks: Development Status and Issue

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

PHOTOMASK N E W S TAKE A LOOK INSIDE: PHOTOMASK 2010 SPECIAL SESSION PREVIEW see page 9. CALENDAR For a list of meetings see page 10

PHOTOMASK N E W S TAKE A LOOK INSIDE: PHOTOMASK 2010 SPECIAL SESSION PREVIEW see page 9. CALENDAR For a list of meetings see page 10 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JUNE 2010 VOLUME 26, ISSUE 6 Defect Reduction of Patterned Media Templates and Disks Kang

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. july 2014 Volume 30, Issue 7 Best Student Paper - AL14 Production of EUV Mask Blanks with

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2015 Volume 31, Issue 4 3 rd Place Winner for Best Oral Presentation - SPIE Photomask

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. February 2015 Volume 31, Issue 2 2 nd Place Best Poster - PM14 Study of high sensitivity

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. October 2013 Volume 29, Issue 10 Best Oral Paper - JPM13 Improvement of EUVL mask structure

More information

Photomask. Minimizing Tone Reversal during 19x nm Mask Inspection N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Minimizing Tone Reversal during 19x nm Mask Inspection N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. August 2018 Volume 34, Issue 8 Best Paper Photomask Japan 2018 Minimizing Tone Reversal during

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. November 2014 Volume 30, Issue 11

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. November 2014 Volume 30, Issue 11 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. November 2014 Volume 30, Issue 11 1 st Place Best Poster - PM14 Efficient Model-Based Dummy-Fill

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Photomask. In-Die Registration Measurement Using Novel Model-Based Approach for Advanced Technology Masks N E W S. Take A Look Inside:

Photomask. In-Die Registration Measurement Using Novel Model-Based Approach for Advanced Technology Masks N E W S. Take A Look Inside: Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. July 2015 Volume 31, Issue 7 Best Paper - SPIE Photomask Japan 2014 In-Die Registration Measurement

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information