PHOTOMASK. Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond

Size: px
Start display at page:

Download "PHOTOMASK. Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond"

Transcription

1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JULY 2008 VOLUME 24, ISSUE 7 Phase-shifting photomask repair and repair validation procedure for transparent & opaque defects relevant for the 45nm node and beyond Christian Ehrlich, Ute Buttgereit, Klaus Boehm, Thomas Scherübl, Carl Zeiss SMS GmbH, Carl Zeiss Promenade 10, Jena, Germany Klaus Edinger, Tristan Bret, Nawotec GmbH - Carl Zeiss SMS GmbH company ABSTRACT With the continuing decrease of feature sizes on photomasks and the related rising costs for current and future masks the importance of a reliable repair and repair assessment process has often been highlighted. The assessment, repair and repair validation of these expensive masks has become a very substantial factor of the total mask production cost The introduction of immersion lithography and the proposed introduction of double exposure strategies will further amplify this trend. In this paper we have concentrated on masks with feature sizes relevant for the 45nm node and defects with typical size and shape as they appear in production. Phase shifting masks with synthetic defects have been manufactured and the printability of the defects is analyzed with an AIMS i. For representative defects the outline and three-dimensional shape as well as further characteristics have been visualized with the inherent electron microscope capability of the electron beam based repair tool, prior to repairing them with the repair system. In addition we will show the behaviour of the phase of the mask in a region of interest, that is in this case the repair area and its immediate vicinity. This will be done by a special new tool, named Phame, developed for measuring the actual phase of smallest mask features with a high spatial resolution. TAKE A LOOK INSIDE: SCHOLARSHIPS AWARDED see page 3 INDUSTRY BRIEFS For new developments in technology see page 9 Continues on page 4. CALENDAR For a list of meetings see page 10 Figure 1. MeRiT MG45 electron beam mask repair system (right) & AIMS i (left).

2 Editorial Are we having fun yet?! Michael D. Archuletta, Director of Marketing, RAVE LLC Is anybody out there in the Photomask Industry having a good year? I didn t think so. Are we surviving? Yes. But in my 31 years in the Photomask equipment business, I have never seen it this slow. The global Photomask Industry is apparently in a serious recession. The irony of all this is (if you believe the pundits), the Semiconductor Industry at large is not in a down-turn. Speaking at a recent Webcast presentation, George Scalise, President of the Semiconductor Industry Association (SIA) reported the June 2008 forecast for worldwide semiconductor sales at 4.3% growth over Granted, the June numbers are down from the 7.7% SIA forecast from January, but even at 4.3% growth, the Semiconductor Industry is still expected to out pace the 2008 growth forecasts for World GDP (3.5%) and USA GDP (2.0%). Scalise was quoted as saying, The (semiconductor) industry is actually doing quite well outside of the memory segment and is still showing immunity to broader US macroeconomic concerns. George also reported global wafer fab production capacity at 90%, foundries at 94% and leading edge fabs producing at 97%. However, with all this fairly stable device business going on, overall semiconductor capital spending is down in double digits. In the meantime, leading Photomask suppliers are reporting unit volume and revenues as flat, but profit margins are way down. But, with a fairly stable Semiconductor Industry, why the lull in the Photomask business. Let s examine the problem. It should be no secret to anyone at this point that expected numbers of new 65nm (and 45nm) node device designs have not ramped at the speed and volume of previous technology nodes. The IDMs and Device Foundries are in fact, still producing lots of 90nm node designs and putting pressure (as usual) on the mask suppliers to lower prices. The mask suppliers are responding (as usual) by dumping prices on each other to maintain market share and volume. With shrinking profits and limited demand for (high-priced) advanced products, the industry is having to manage costs and tighten capital investment like never before. Also, there are rumors of continued consolidation in the merchant sector causing several companies to literally freeze capital budgets. I ve been commiserating with my counterparts in other Photomask equipment segments and the story is the same everywhere. Equipment sales are down. To say it another way, equipment sales are way down. In some cases, placed purchase orders are being cancelled or deliveries postponed. Consensus is, the Photomask Industry has nearly ceased buying advanced lithography, etch, metrology and repair equipment. I ve spoken to equipment suppliers that have not had a purchase commitment in over 8 months. Photomask equipment vendors normally look forward to some level of production capacity purchases as new technology nodes ramp. Then new business develops as next generation technologies begin to emerge. Obviously, in this environment the need for capacity buys of advanced equipment are non-existent because the installed base of tools are managing the limited advanced mask demand and nobody can predict when the next generation tools will be needed. So, if you think your business is bad, imagine what it s like these days for the equipment suppliers. We are all constraining budgets, conserving R&D expenditures, reducing forces, postponing capital investments and micro-managing cash flow to an extreme beyond my fairly extensive experience. Don t get me wrong, I am not complaining. These cycles are a historical foregone conclusion. I m just saying that this particular cycle seems to be one of those for the record books. Now for my warning. Will it all eventually rebound? Of course it will. But, as always happens, when the resurgence comes, no one will be ready. Wafer Fabs will suddenly begin placing volume orders for next generation technology node masks. Mask makers will fill the capacity of their current equipment sets and begin struggling with the ramp to newer, high-end products. Everyone will turn to the equipment suppliers at once and start demanding delivery of next generation systems. The problem this time is, the equipment suppliers will have smaller workforces, reduced parts inventories Continues on page 8 BACUS News is published monthly by SPIE for BACUS, the international technical group of SPIE dedicated to the advancement of photomask technology. Circulation Managing Editor/Graphics Linda DeLano Advertising Teresa Roles-Meier BACUS Technical Group Manager Pat Wight 2008 BACUS Steering Committee President Brian J. Grenon, Grenon Consulting Vice-President John Whittey, Vistec Semiconductor Systems, Inc. Secretary Warren Montgomery, CNSE 2008 Annual Photomask Chairs Hiroichi Kawahira, Sony Atsugi Technology Ctr. (Japan) Larry S. Zurbrick, Agilent Technologies, Inc. International Chair Wilhelm Maurer, Infineon Technologies AG (Germany) Education Chair Wolfgang Staud, B2W Consulting Newsletter Editors Artur Balasinski, Cypress Semiconductor Corp. Warren Montgomery, CNSE Sponsorships Teresa Roles-Meier, SPIE Members at Large Frank E. Abboud, Intel Corp. Michael D. Archuletta, RAVE LLC Uwe Behringer, UBC Microelectronics (Germany) Peter D. Buck, Toppan Photomasks, Inc. Ute Buttgereit, Carl Zeiss SMS GmbH (Germany) Thomas Faure, IBM Corp. Gregory K. Hearn, SCIOPT Enterprises Gregg A. Inderhees, KLA-Tencor Corp. Bryan S. Kasprowicz, Photronics, Inc. Kurt Kimmel, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) Paul Leuhrmann, ASML (Netherlands) Mark Mason, Texas Instrument Inc. Robert (Bob) Naber, Cadence Design Systems, Inc. Emmanuel Rausa, Oerlikon USA Inc. Douglas J. Resnick, Molecular Imprints, Inc. J. Tracy Weed, Synopsys, Inc. P.O. Box 10, Bellingham, WA USA Tel: or Fax: SPIE.org customerservice@spie.org 2008 All rights reserved.

3 Volume 24, Issue 7 Page 3 SPIE Awards 2+2 BACUS Photomask Scholarships 2008 marks a unique year for the BACUS Scholarship awards. After increasing the annual scholarships from one to two in 2007, this year we are pleased to announce that we also will be awarding two additional honorary awards - made possible through generous donations by Brian Grenon, current BACUS President, and John Bossung, last year s Lifetime Achievement Awards winner. The two BACUS Photomask Scholarships are awarded to a full-time undergraduate or graduate student in the field of microlithography with an emphasis on optical tooling and/or semiconductor manufacturing technologies. This scholarship is sponsored by BACUS, SPIE s Photomask International Technical Group. This year SPIE received over 300 applications, which are reviewed and ranked by the SPIE Scholarship committee. The 2008 BACUS Scholarship Award winners are Eric Chin and Peng Yu. Eric Chin is currently a PhD candidate in the Department of Electrical Engineering and Computer Science at the University of California, Berkeley. His major interest is in Design for Manufacturability [DfM], focusing on incorporating information about lithographic variations in the integrated design flow. Under the guidance of Professor Andy Neureuther I created a novel flow to predict interconnect delay variations..., Chin writes in his application. This flow involved finding patterns that are sensitive to aberrations in the optical lithography system and matching them with designer layouts to predict changes in interconnect geometries. These changes are translated to electrical parameters that can be reflected in the design flow. Chin has several publications with SPIE, and is an SPIE Student Member. Peng Yu is currently a PhD candidate in the Department of Electrical and Computer Engineering at the University of Texas at Austin. His major interest is also in Design for Manufacturing [DfM]. In particular, he is interested in faster OPC algorithms and lithography modeling. Peng writes: As variations in lithography systems had become more severe, I proposed for the first time a variational lithography model that handles variations analytically (published in SPIE Proceedings 2006). Based on this model, I proposed a process variational aware OPC (published in DAC 06). An extended version with the model calibration method was published in the prestigious journal, JM3 07. Semiconductor Research Corporation (SRC) especially cited this work in term of importance and satisfaction from the SRC members. They also sponsored the patent filing of this invention and I will get an SRC Invention Award in this year. My two ICCAD 07 papers pushed the state of art in both pixel based and vector based OPC, the two OPC categories. One paper addressed the mask complexity problem in pixel based OPC, which introduced the concept of topological invariance to constrain mask complexity explicitly. Yu has several publications in DAC, ICCAD, and SPIE Microlithography, and is a Student Member of SPIE. Two Additional One-Time $1000 Honorary Scholarships Awarded for 2009 The two $1000 one-time honorary awards were graciously sponsored through donations back to BACUS. The winners of these awards are Christine Lee and Huda Tanvir. Christine Lee is a High School Graduate at Peddie School, Highstown, NJ. With an SPIE scholarship, I hope to pursue my interest in nanotechnology...i want to contribute to the field of nano-optics and nano-photonics. Christine comes with some of the best letters of recommendation of this year. Huda Tanvir is a PhD student in Photonics Quantum Electronics at the City University, Northampton Square, London, UK. His PhD research is based on numerical modeling and simulation of terahertz waveguides. The challenge...is to design a novel compact waveguide structure for low loss and low dispersion propagation of terahertz waves. Since the program s inception in 1978, the SPIE Scholarship Committee has made two types of awards: scholarships to high school, undergraduate, and graduate students in support of research, tuition, and related expenses plus grants to educational institutions for academic use and for student travel to SPIE technical meetings. Through this program SPIE has distributed more than $3,000,000 in scholarship and grant awards. Individual award amounts range from $1000 to $11,000, including the D.J. Lovell Scholarship, the Society s largest student award. (This year s D.J. Lovell award goes to Nikolay Makarov, who was one of the BACUS Scholars in 2007.) Through 2007, a total of 1,019 scholarships to students and 355 grants to educational institutions have been awarded across 84 countries. Please join us in congratulating this year s BACUS Scholarship Award winners. Your Scholarship Subcommittee, Kurt Kimmel Warren Montgomery Wolf Staud

4 Page 4 Volume 24, Issue 7 Continued from cover. Figure 2. Image of a single quartz bump on photomask captured with MeRiT e-beam repair system. 1. Introduction The continuing decrease of feature sizes in conjunction with yielddriven costs for current high-end photomasks has resulted in an increased focus on defect printability, mask repair, repair validation and repair cycle times. The increasing complexity of future generations of photomasks and their projections of the costs emphasize the relevance of a shortened and closed mask repair cycle even more. Clearly, a seamless methodology has the potential to reduce production costs for high-end masks and complete mask sets. Carl Zeiss SMS has, in a concerted development effort with other Zeiss daughter companies, succeeded to introduce a novel mask repair tool. This electron beam based tool is capable of repairing specifically all types of advanced masks, such as quartz binary masks, phase shift masks and EUV masks. The combined usage of this high fidelity e-beam based repair systems MeRiT with the AIMS aerial imaging systems for reticle-defect printability evaluation and inspection after repair has become a quasi standard in many high-end mask shops. The AIMS system uses the same reticle-illumination conditions as the scanner as sigma, NA and polarization for which the reticle under investigation is intended to be used. Furthermore the lens of the AIMS has the same imaging NA as the lenses of the scanner. With the recent introduction of the AIMS i, a tool that was specifically developed for the 45nm node, this combined usage has become even more relevant. In conjunction with the 45nm node the AIMS i has to measure structures that are on the order of 180nm. This is already smaller than the utilized illumination wavelengths of 193nm. Under such boundary conditions it is observed that the diffraction of the illumination light strongly depends on the detailed three-dimensional geometry of the mask structures. The tool furthermore supports all kinds of off-axis illumination schemes and polarization choices, as they might be used in the scanners for wafer exposure. The AIMS i is equipped with a so-called vector-effect emulator, that, given a certain index-of-refraction of the resist, renders an accurate prediction of the image in the resist. 1 This capability is especially helpful for the repair engineer as it allows to assess the success of specific repair work in a very extensive manner. Recently, and with the industry moving steadily into 45nm as well as preparing to expand into 32nm node technology, additional requirements with respect to phase shifting masks have been seen to arise. An ability to measure the optical phase with a spatial resolution comparable to the mask feature sizes, for instance directly at a repair location and in its vicinity, is seen to be of growing interest as it will help to understand all the phase related effects. Carl Zeiss has introduced an optical phase measurement tool (Phame ), providing such capability and extending process control from dedicated and large off-die test features to indie phase shifting features with a high spatial resolution. In this paper we will give a brief outlook how the interaction of the described repair and measurement tools can be used to ensure higher yields in mask production, even if the complexity of the mask continues to increase as proposed by the roadmaps. 2. The E-beam Repair System The historically employed mask repair technologies, focused ion beam (FIB) and laser based repair, have been seen to reach fundamental limits in the repair of the most advanced masks. This became especially obvious against the background of the employed resolution enhancement technologies, e.g. when it became necessary to repair optical proximity correction features like scatter bars etc. This situation could be improved with the introduction of a novel electron beam based mask repair tool MeRiT MG based on the superior capabilities of Carl-Zeiss Gemini electron beam optics. 2 Since such an e-beam based mask repair tool is essentially based on a small e-beam lithography tool, the excellent resolution can be clearly understood. The high quality electron optics have the striking advantage of delivering inspection and imaging capabilities by using the system in a SEM mode. The low-voltage operation of the system avoids any alteration of the optical quality of the photomask during imaging, such as staining or other mask damaging effects that were always experienced with the ion beam systems. The right part of Figure 1 shows an overview of a MeRiT MG45 e-beam mask repair tool. The central part to be seen is the small footprint core unit, with small cabinets for external electronics and gas delivery positioned on each side. Inside the core units vacuum chamber a photomask is positioned, either semi-automatic or via a robotic handling unit, onto a laser interferometer stage. It resides on this position during the repair and subsequent inspection and visualization steps. After navigating to an identified defect area by using the supplied inspection data file from an inspection tool, the essential repair step can be performed. For this purpose suitable precursor gases are injected through micronozzles in very close vicinity to the incident electron beams final lens. Excited by the electrons, the precursor gas molecules adsorbed on the surface over the respective defect become reactive. Depending on the precursor chemistry, the reaction induced by the incident focused electron beam will lead to two different classes of reactions. There will either be a deposition of materials caused by e-beam induced fragmentation of suitable precursor molecules or there will be a reaction between the adsorbed molecules and the substrate material that results in etching the mask absorber material. Both classes of reactions generate only volatile by-products. Since the reaction is confined to the very small area exposed by the electron beam, this technique allows high resolution nanostructuring. Structures with feature size well below 30nm can be reproduced reliably or removed in a very accurate fashion. This allows for two different modes of operation, either

5 Volume 24, Issue 7 Page 5 Figure 3. Detail of one test module cell on the MoSi Testmask. deposition of materials or removal of materials, both of which can be performed by the MeRiT tool in any sequence and with literally zero overhead time for interchanging between the two modes of operation. This feature is one of the strongest advocates of the underlying technology, e.g. the potential to execute clear defect repair as well as opaque defect repair with the same precision and through the same operator interface. The electron optical column of the MeRiT repait tool is differentially pumped and for the customized mask repair processes operated at 1keV. It is one of the striking features of the employed GEMINI e-beam column, with its combined electrostatic and electromagnetic immersion lens, that, at such comparatively low electron energy of 1keV, still a high current of approximately 50pA and a very small beam spot size of about 3nm can be maintained. 3 Obviously, this low energy of the incident electrons has very positive side effects when a damage free repair process is required. Unlike with focused ion beam systems, this allows for basically unlimited imaging of the mask during pre- and post-defect review, without any damage of involved structures or any transmission loss of the quartz mask substrate. This becomes especially important against the background of the higher utilization of phase-shifting masks in current semiconductor lithography. Furthermore the gas flow of the employed process gases is controlled by flow controllers, depending on the specific chemistry involved, and the delivery of the gases to the repair sites is controlled by fast switching valves located extremely close to the nozzle outlets. This close vicinity is made possible by the unique design of the GEMINI column. The column design makes use of a ring-shaped in-lens detector for the secondary electrons and does not rely on detectors placed besides the column which might otherwise occupy the required space. In addition to the SE detector, which is mainly used for imaging and pattern recognition tasks, the newly designed 45nm node repair system MeRiT MG45 includes a second detector, namely a energy sensitive backscatter detector. The signals derived from this detector allow a spatially resolved differentiation of different materials. For all types of charged particle repair schemes surface charging has always been of a great concern. This is because the impact of any surface charge could deflect the particle beams, leading to distorted or misplaced repairs. The proprietary charge blocking method developed for and implemented in the MeRiT system has resulted in the complete elimination of the charging impact to the repair process. This has been demonstrated in various repair situations and on the most challenging substrates. As an example Figure 2 shows a live image, captured with the MeRiT repair system, depicting a singular quartz bump. The visual impression exemplifies that even in this challenging situation no surface charging distorts the image, rather the imaging quality is good enough to exhibit even very small surface details. 3. Test Masks with Defects In order to perform a number of repairs of different shapes and quality we designed a testmask layout, keeping in mind that a large variety Continues on page 6.

6 Page 6 Volume 24, Issue 7 Continued from page 5. Figure 4a. Pre-and post-repair SEM images of 180nm L/S pattern bridge defect area. Figure 4b. Post-repair EsB image of 180nm L/S pattern bridge defect area after repair. of defects in different tonality and sizes are required to study the effects of size reduction and RET on advanced reticles. A complete test mask layout consists of more than 100 defect types and includes defect pointers for better navigation and non-defective reference patterns in order to do localized comparisons with AIMS as well as with the phase metrology tool Phame. To have the possibility to undertake wafer exposures for final verification of all measured results at a later time we included stepper alignment targets as well. The masks were exposed and processed with a high-end variable shaped-beam e-beam writer in a merchant mask shop. Binary as well as 6% MoSi-Masks have been manufactured and repair and repair validation processes have been performed. In this paper we decided to limit the discussion on the phase-shifting masks, and here on opaque defects, e.g. the etching processes for the repair step. Figure 3 shows a general overview of one defect cell. In this case a large bridge in 180nm horizontal lines and spaces structures has been repaired. 4. Repair Results The images shown in Figure 4a are close-up scans of a bridge defect in a 180nm horizontal line and space pattern. The left image is a prerepair image and the right image has been taken immediately after the repair process. Such images are automatically taken with the SE detector of the repair system after the respective process steps. The secondary electron detector image suggests a complete clearance of the bridge and thus successful repair. The energy sensitive backscatter (EsB) detector image shown in Figure 4b is a clear indicator that the e-beam assisted etching of the repair tool has indeed removed the phase shifter material completely in the undesired area. Furthermore it is evident that the e-beam repair system repairs with almost zero offset and no damage is caused to the surrounding structures. In a following step and as the proof for a successful repair all performed repairs undergo an AIMS45 validation measurement and this is compared to a measurement taken with identical parameters prior to processing the mask in the repair tool. The measurement for the 180nm lines and space pattern defect was performed with a numerical aperture NA=1.35, sigma value of s=0.9 and a disar type shape. These parameters were varied for the other different defect types against the background of maximum resolution and information sampling of the respective repair under investigation. Figure 5 shows the AIMS images for the 180nm lines and space pattern defect area matching the images in Figure 4a,b for the pre- and post-repair situation. Despite some visible minor surface roughness in the postrepair SEM image ( right side of Figure 4a ) the respective AIMS image clearly shows that the repaired defect will no longer print. The absence of any staining or debris is proven, as otherwise it would have shown in the aerial image. Comparable experiments have been performed for a variety of different defect types, eg. small extrusions and intrusions and various assist features. Figure 7 gives a visual impression of the respective results, here for a 40nm extrusion (right) and for a missing assist feature of 50nm size (left). Again it is visible that the repair of the e- beam system causes no modification and generates no particles in the surrounding area. This is a pre-requisite for the capability to perform multiple subsequent repairs or in order to achieve tight CD specifications, not only for best focus, but also for out-of-focus planes. As briefly discussed in the introduction with the newly developed phase metrology system Phame it has now for the very first time become possible to retrieve the phase behaviour of a small structure on a phaseshifting mask. 4 The initial intention for the development

7 Volume 24, Issue 7 Page 7 Figure 5. Pre- and post-repair AIMS(tm) images of 180nm L/S pattern bridge defect area. Figure 6. Pre- and post-repair PHAME images of 180nm L/S pattern bridge defect area. of the phase metrology system Phame has come from a number of different requirements, for instance because proximity effects due to the finite extension of the phase grating can significantly influence the phase value of individual lines, or as a means to improve OPC (optical proximity correction) strategies or other reticle enhancement technologies. Nevertheless, this capability is also of great interest for the mask repair process development and repair success assessment. Figure 6 shows the worlds first spatially resolved phase measurement of a 45nm node relevant programmed defect, again the identical defect area around a 180nm lines and space pattern with a programmed bridge defect was used as in the AIMS and e-beam repair images of the MeRiT system shown above. The localized phase error is clearly identified, as is its absence after the repair has been carried out. In the hands of a repair engineer this new capability gives rise to a number of interesting applications. At the beginning of the process development and process fine tuning one can utilize the phase metrology system to characterize the respective phase-shifting mask repairs for spatially resolved phase behavior. The technology allows for the first time to de-convolute error contributions from geometrical errors and from phase behavior issues. The possibility to independently assess the respective contributions is expected to become necessary at least for the 32nm node masks, 45nm node repair recipe development may already benefit from it. 5. Summary With the combination of aerial image measurement by AIMS, the spatially resolved phase measurement tool Phame and the highaccuracy electron beam based mask repair Merit the workflow for 45nm node and beyond phase-shifting masks has reached a new level of quality. In the future the implementation of phase metrology during the development of mask repair recipes will give deeper insight in the fundamental optical properties of the repair and its immediate neighbourhood. The performance of the employed mask repair system MeRiT MG45 is shown to meet or sometimes exceed the ITRS requirements for MoSi 6% opaque defects. All qualification measurements were performed with an AIMS i and always included measurements of the relevant reference structures in the neighbourhood of the repair for comparison. The presented work performed on opaque defects for 6% MoSi phase-shifting masks is currently continued for transparent defects, e.g. PSM deposition processes. Furthermore opaque and transparent high-t PSM masks with for instance 18% transmission are under investigation for the relevant repair processes. For all these masks it is expected that the phase behaviour of the repaired area will have a significant contribution for the integral repair quality and that deeper insights in the phase error contribution will allow for a further optimization of the respective repair applications when they are utilized for 32nm node photomasks. Continues on page 8.

8 Page 8 Volume 24, Issue 7 Continued from page 7. Figure 7. Pre- and post-repair SEM images of a 40nm extrusion defect area and of a 50nm missing assist feature defect area. 6. References 1. Peter De Bisschop, Vicky Philipsen, Robert Birkner, Ute Buttgereit, Rigo Richter, Thomas Scherübl, Using the AIMS i for hyper-na imaging applications, Proc. SPIE Ted Liang, Alan Stivers, Michael Penn, Dan Bald, Chetan Sethi, Volker Boegli et al., Demonstration of Damage-free Mask Repair Using Electron Beam-induced Processes, Proc. SPIE, Vol. 5446, pp , Klaus Edinger, Hans Becht, Rainer Becker, Volker Bert, Volker Boegli, Michael Budach et al., A Novel Electron Beam-based Photomask Repair Tool, Proc. SPIE, Vol. 5256, pp , Sascha Perlitz, Ute Buttgereit, Thomas Scherübl, PHAME: a novel phase metrology tool of Carl Zeiss for in-die phase measurement under scanner relevant optical settings, Proc. SPIE, Vol. 6518, Editorial (continued from page 2) and extended deliveries like never before. Most equipment suppliers are sitting on floor inventory and maintaining limited stores of long lead sub-systems. But my suspicion is, existing finished goods these days are small in direct proportion to the long duration of the current sales slump. A very few mask makers who order early are going to get reasonable delivery of new equipment and be in a position to take advantage of the next up-turn in real time. However, those slow to react are going find severely extended delivery times. Most equipment manufacturers will not be in a position to deliver on a moments notice after the initial inventory is taken. Some Photomask suppliers are going to be very unhappy with their equipment guys. From a historical perspective it s like sitting in a car stuck on the railroad tracks, watching a freight train approaching at high speed. So, I m going to offer some sage advice. One solution is to begin changing our recovery paradigm. Start thinking about capital investment planning in a different way. One of the things most companies rarely do is really partner with their suppliers. Make up your minds right now, what equipment your going to buy, even if you don t know when you want delivery. (Now, I know you re already doing this). But this time, actually partner with the vendor of choice. Lay out your game plan, provide a Letter-of-Intent, in the best case, place a contingent Purchase Order with an extended delivery date. The equipment guys can (literally) take this to the bank. If you re worried about getting a good price by showing your cards to early, believe me, these days you can take most equipment dealers to the cleaners. Prices right now, for early commitments are unlikely to ever be lower. If you wait until four or five customers are clamoring for equipment, the price of poker is going to go way up (and delivery times are going to go way out)! The up-turn will come. As is always the case, the progressive actors will reap the rewards. That s it from the Photomask equipment trenches. Let s all look forward to the return of good times. By the way, are we having fun yet!?

9 Volume 24, Issue 7 Page 9 Industry Briefs Sponsorship Opportunities Sign up now for the best Photomask 2008 sponsorship opportunities. Contact: Teresa Roles-Meier Tel: teresar@spie.org Advertise in the BACUS News! The BACUS Newsletter is the premier publication serving the photomask industry. For information on how to advertise, contact: Teresa Roles-Meier Tel: teresar@spie.org BACUS Corporate Members Aprio Technologies, Inc. ASML US, Inc. Brion Technologies, Inc. Coherent, Inc. Corning Inc. Gudeng Precision Industrial Co., Ltd. Hamatech USA Inc. Inko Industrial Corp. JEOL USA Inc. KLA-Tencor Corp. Lasertec USA Inc. Micronic Laser Systems AB RSoft Design Group, Inc. Synopsys, Inc. Toppan Photomasks, Inc. To receive announcements for these meetings, send an message to patw@spie.org; in the body of the message include the words subscribe info-bacus. Strong Growth Seen for Advanced Photomasks By John Walko, EE Times Europe After the pain of mediocre growth in 2006, the semiconductor photomask market regained its strong advance last year with growth of 9.4 percent, reaching sales of $2.5 billion, according to market research group The Information Network (New Tripoli, PA.). Demand for photomasks is directly a function of design activity rather than sales volume from products using photomasks, so an increase in the semiconductor market does not necessarily translate to an increase in photomask sales, notes Robert Castellano, President of The Information Network. For example, the semiconductor market grew 8.9 percent and 3.8 percent in 2006 and 2007, respectively, but the photomask market grew 5.4 percent and 9.4 percent in the same period, said Castellano. Production of 45-nm masks ramped up last year, while use of 65-nm masks moved into volume production, said the market research group. A 65-nm mask set is comprised of 40 photomasks, five of which are critical (45-nm design rule) and 15 subcritical (90-nm design rule). According to TIN, a 65-nm mask set can cost 1.8 times that of a 90-nm set, while a 45-nm mask set can cost 2.2 times that of a 65-nm version. Toppan led the worldwide merchant market last year for advanced photomasks, with a 42 percent share, followed by DNP and U.S. based Photronics, which dropped 2 share points to 13.6 percent of the market. TIN estimates logic ICs will grow 10 percent this year. Since these parts require more masks and at a higher price, and coupled with a further ramp in 45- and 60-nm mask set consumption, this is predicted to translate into an increase of 14 percent for photomask sales. We will witness further consolidation in the mask market with the acquisition of Photronics in Q2 2008, leaving Compugraphics, a subsidiary of Princeton, N.J. based Rockwood Specialties, as the only U.S.-based photomask supplier, added Castellano. Who Killed High-Index Lithography? By Mark LaPedus, EE Times Who killed high-index lithography and are politics at play? Those remain pressing questions, as the next-generation lithography (NGL) race has recently taken some unexpected twists. In May, Cymer Inc. reported a major milestone in extreme ultraviolet (EUV) power sources while Nikon Corp. separately dropped its high-index 193-nm lithography equipment program. The events implied that the industry is narrowing the NGL options for chip production at the 32-nm node and beyond. Double-patterning, EUV, maskless and nanoimprint are still on the table. But the trouble is that maskless and nanoimprint are underfunded and not ready for prime time. EUV lithography remains the strong frontrunner despite ongoing delays, soaring costs and uncertainty with the technology. In other words, there are still no viable NGL solutions for mass production. And amid the confusion, high-index lithography is losing steam and could be out of the running. Nikon scrapped its high-index program. Rival ASML Holding NV has been lukewarm about the subject. And many leading-edge chip makers, reportedly including Intel, Micron and others, have expressed little or no interest in high-index, thereby nearly putting the nail in the coffin for the technology. In theory, high-index immersion lithography uses a non-water solution to expose a wafer, which will allow the IC industry to extend 193-nm optical lithography to the 22-nm node and beyond. What s more, it could also push out if not kill many non-optical NGL options, namely EUV. Many say high-index lithography is a pie in the sky technology. Still others believe the technology is being taken off the table because it s a hot potato and presents a threat to EUV. It s political, said one equipment source who spoke on the condition on anonymity, adding high-index could have stood a better change with more funding.

10 Join the premier professional organization for mask makers and mask users! About the BACUS Group Founded in 1980 by a group of chrome blank users wanting a single voice to interact with suppliers, BACUS has grown to become the largest and most widely known forum for the exchange of technical information of interest to photomask and reticle makers. BACUS joined SPIE in January of 1991 to expand the exchange of information with mask makers around the world. The group sponsors an informative monthly meeting and newsletter, BACUS News. The BACUS annual Photomask Technology Symposium covers photomask technology, photomask processes, lithography, materials and resists, phase shift masks, inspection and repair, metrology, and quality and manufacturing management. Individual Membership Benefits include: Subscription to BACUS News (monthly) Quarterly technical meetings in the Bay Area Reduced registration rates at BACUS Photomask Technology annual meeting Eligibility to hold office on BACUS Steering Committee spie.org/bacushome Corporate Membership Benefits include: One Voting Member in the SPIE General Membership Subscription to BACUS News (monthly) One online SPIE Journal Subscription Exhibit Space discount of 8% at either the Photomask or Advanced Lithography Symposium Listed as a Corporate Member in the BACUS Monthly Newsletter spie.org/bacushome C a l e n d a r 2008 SPIE Photomask Technology 6-10 October Monterey, California, USA spie.org/photomask SPIE Lithography Asia - Taiwan 4-6 November Taipei, Taiwan SPIE Advanced Lithography February San Jose, California, USA spie.org/al Abstracts now being accepted Photomask Japan 8-10 April Hotel Pacifico Yokohama Yokohama, Japan You are invited to submit events of interest for this calendar. Please send to lindad@spie. org; alternatively, or fax to SPIE. SPIE is an international society advancing light-based technologies. International Headquarters P.O. Box 10, Bellingham, WA USA Tel: or Fax: customerservice@spie.org SPIE.org Shipping Address th St., Bellingham, WA USA 2 Alexandra Gate, Ffordd Pengam, Cardiff, CF24 2SA, UK Tel: Fax: spieeurope@spieeurope.org

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8

PHOTOMASK. E-beam induced EUV photomask repair a perfect match N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 8 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MAY 2010 VOLUME 26, ISSUE 5 EMCL10 Best Paper E-beam induced EUV photomask repair a perfect

More information

co-located with SPIE Scanning Microscopies

co-located with SPIE Scanning Microscopies 2014 co-located with SPIE Scanning Microscopies Location Monterey Marriott and Monterey Conference Center Monterey, California, USA Conference 16 18 September 2014 Exhibition 16 17 September 2014 Submit

More information

PHOTOMASK. The MEEF NILS divergence for low k1 lithography N E W S TAKE A LOOK INSIDE: JANUARY 2008 VOLUME 24, ISSUE 1

PHOTOMASK. The MEEF NILS divergence for low k1 lithography N E W S TAKE A LOOK INSIDE: JANUARY 2008 VOLUME 24, ISSUE 1 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JANUARY 2008 VOLUME 24, ISSUE 1 The MEEF NILS divergence for low k1 lithography Richard Schenker,

More information

PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10

PHOTOMASK N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS For new developments in technology see page 9. CALENDAR For a list of meetings see page 10 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2008 VOLUME 24, ISSUE 11 Wafer Plane Inspection Evaluated for Photomask Production

More information

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH

PHOTOMASK. Mask Industry Assessment: 2007 Gilbert Shelden, Shelden Consulting, Patricia Marmillion, SEMATECH/IBM Corp., and Greg Hughes, SEMATECH PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2007 VOLUME 23, ISSUE 10 Mask Industry Assessment: 2007 Gilbert Shelden, Shelden

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9

PHOTOMASK. Mask Inspection Placement Maps for Improving Overlay N E W S TAKE A LOOK INSIDE: NEWS BRIEFS For new developments in technology see page 9 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. MARCH 2010 VOLUME 26, ISSUE 3 Second Place Best Poster Award Mask Inspection Placement Maps

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Pellicle dimensions for high NA photomasks

Pellicle dimensions for high NA photomasks Pellicle dimensions for high NA photomasks Frank Erber a, Thomas Schulmeyer a, Christian Holfeld a a Advanced Technology Center GmbH & Co. KG, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT At photomask

More information

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6

PHOTOMASK. EUV mask defect mitigation through pattern placement N E W S TAKE A LOOK INSIDE: INDUSTRY BRIEFS see page 6 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. OCTOBER 2010 VOLUME 26, ISSUE 10 Second Place Best Poster EUV mask defect mitigation through

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S

Photomask. Layout Decomposition and Mask Synthesis for Double and Triple Exposure With Image Reversal in a Single Photoresist Layer N E W S Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2012 Volume 28, Issue 4 Third Place Best Poster Award (PM11) Layout Decomposition and

More information

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9

Photomask. Evaluation of the Accuracy of Complex Illuminator Designs N E W S. Take A Look Inside: Industry Briefs see page 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. December 2011 Volume 27, Issue 12 First Place Best Oral Paper PM11 8166-20 Evaluation of

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE:

PHOTOMASK. Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond N E W S TAKE A LOOK INSIDE: PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. NOVEMBER 2010 VOLUME 26, ISSUE 11 Best Paper Award Development and Characterization of a

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9

Photomask N E W S. Take A Look Inside: Industry Briefs see page 7. Calendar For a list of meetings see page 8. September 2013 Volume 29, Issue 9 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. September 2013 Volume 29, Issue 9 Best Oral Paper - JPM13 The Capability of High Magnification

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography Lithography D E F E C T I N S P E C T I O N Taking Sides to Optimize Wafer Surface Uniformity Backside Inspection Applications In Lithography Kay Lederer, Matthias Scholze, Ulrich Strohbach, Infineon Technologies

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. July 2011 Volume 27, Issue 7 Invited Paper 7985-4 NGL Masks: Development Status and Issue

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. February 2015 Volume 31, Issue 2 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. February 2015 Volume 31, Issue 2 2 nd Place Best Poster - PM14 Study of high sensitivity

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4

Photomask N E W S. Take A Look Inside: Industry Briefs see page 8. Calendar For a list of meetings see page 9. April 2015 Volume 31, Issue 4 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. April 2015 Volume 31, Issue 4 3 rd Place Winner for Best Oral Presentation - SPIE Photomask

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

PHOTOMASK N E W S TAKE A LOOK INSIDE: PHOTOMASK 2010 SPECIAL SESSION PREVIEW see page 9. CALENDAR For a list of meetings see page 10

PHOTOMASK N E W S TAKE A LOOK INSIDE: PHOTOMASK 2010 SPECIAL SESSION PREVIEW see page 9. CALENDAR For a list of meetings see page 10 PHOTOMASK BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. JUNE 2010 VOLUME 26, ISSUE 6 Defect Reduction of Patterned Media Templates and Disks Kang

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8

Photomask. Production of EUV Mask Blanks with Low Killer Defects N E W S. Take A Look Inside: Industry Briefs see page 8 Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. july 2014 Volume 30, Issue 7 Best Student Paper - AL14 Production of EUV Mask Blanks with

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S Inspection F E A T U R E S Wafer Inspection Technology Challenges for ULSI Manufacturing Part II by Stan Stokowski, Ph.D., Chief Scientist; Mehdi Vaez-Irvani, Ph.D., Principal Research Scientist Continued

More information