TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS

Size: px
Start display at page:

Download "TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS"

Transcription

1 TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS

2 TIMING ANAL YSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS Naresh Maheshwari Iowa State University Sachin S. Sapatnekar University of Minnesota ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC

3 ... " Library of Coogress Catalogiog-io-Publicatioo Data Maheshwari, Naresh, Timing analysis and optimization of sequential circuits I Naresh Maheshwari, Sachin S. Sapatnekar. p.cm. lnc1udes bibliographical references and index. ISBN ISBN (ebook) DOI / lntegrated circuits-very large scale integration-design and construction-data processing. 2. Computer-aided design. 3. Time-series analysis-data processing. 1. Sapatnekar, Sachin S., D. Title. TK M '5--dc CIP Copyright 1999 by Springer Science+Business Media New York Second printing Originally published by Kluwer Academic Publishers in 1999 Softcover reprint of the hardcover lst edition 1999 AII rights reserved. No part of this publication may be reproduced, stored in a retrieval system or transmitted in any fonn or by any means, mechanical, photo-copying, recording, or otherwise, without the prior written pennission of the publisher, Springer Science + Business Media. LLC. Printed on acid1ree paper.

4 Dedicated to our parents

5 Clock. n.: A machine of great moral value to man, allaying his concern for the future by reminding him what a lot of time remains to him. A mbrose Bierce in "The Devil's Dictionary"

6 Contents List of Figures Preface ix XIII 1. INTRODUCTION Performance Optimization of VLSI Circuits Outline of the Book 5 2. TIMING ANALYSIS OF SEQUENTIAL CIRCUITS Introduction Combinational Delay Modeling Clocking Disciplines: Edge-Triggered Circuits Resolving Short Path Violations Clocking Disciplines: Level-clocked Circuits Clock Schedule Optimization for Level-Clocked Circuits Timing Analysis of Domino Logic Concluding Remarks CLOCK SKEW OPTIMIZATION The Notion of Deliberate Clock Skew Is Clock Skew Optimization Safe? Clock Tree Construction Clock Skew Optimization Clock Skew Optimization with Transistor Sizing Wave Pipelining Issues Deliberate Skews for Peak Current Reduction Conclusion THE BASICS OF RETIMING Introduction to Retiming A Broad Overview of Research on Retiming Modeling and Assumptions for Retiming Minperiod Optimization of Edge-triggered Circuits 74

7 viii TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS 4.5 Level-clocked Circuits Concluding Remarks MINAREA RETIMING The Leiserson-Saxe Approach The Minaret Algorithm Minarea Retiming of Level-Clocked Circuits RETIMING CONTROL LOGIC Minperiod Initial State Retiming via the State Transition Graph Minperiod Initial State Retiming via Reverse Retiming Minarea Initial State Retiming Maintaining Initial States With Explicit Reset Circuitry MISCELLANEOUS ISSUES IN RETIMING Retiming and Testing Verification Issues Retiming for Low Power Retiming with Logic Synthesis Retiming for FPGA's Practical Issues Conclusion CONCLUSION 169 References 171

8 List of Figures 1.1 A typical area-delay tradeoff curve in transistor sizing A general sequential circuit. S 2.2 An example of a pipelined system. S 2.3 Illustration of the clocking parameters for a register An example of a composite cell An example of an RC tree The Critical Path Method (CPM) Circuit delays obtained from the Critical Path Method Example illustrating the advantages of using level-sensitive latches Phase i of a k-phase clock with all times relative to the local time zone Illustration of the phase shift operator A typical domino circuit An Example Circuit The advantage of nonzero clock skew The perils of zero skew H-tree layout for clocking Zero-skew merge of two subtrees [Tsa93] 1993 IEEE A merging segment between two points, with x = t) A combinational block in a sequential circuit Double-clocking Zero-clocking Example illustrating the importance of combining sizing and skew optimization An example illustrating symbolic delay propagation algorithm A model of a synchronous sequential circuit Clock network routing considerations Modified CPM Illustrating the idea of wave pipelining An example illustrating logic signal separation constraints. 61

9 x TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS 4.1 Effect of retiming on clock period Effect of retiming on number of registers Equivalent initial states in reverse retiming A correlator circuit Graph model of the correlator example Retimed graph of the correlator An example of a circuit with long path violation Using clock skew to correct the long path violation Retiming for clock period reduction Relationship between retiming and skew An example circuit ASAP retiming of the example circuit, with the ASAP constraint graph ALAP retiming of the example circuit, with the ALAP constraint graph Effective skews at FF's after ASAP retiming across a gate Example illustrating the change in the critical path with the clock period IEEE The latch shift operator The ability of a latch to absorb some skew Worst-case situation for remaining skew Unconditional register sharing at multiple fanouts Model for maximum register sharing at multiple fanouts Possible FF locations after retiming Example illustrating the approach An example circuit Retiming for clock period optimization Alternate retiming for clock period optimization (a) Original circuit. (b) Retimed circuit Forward retiming of a combinational logic node IEEE Example of an impossible retiming IEEE Adding minimal reset logic to make the retiming possible IEEE Retiming of the modified circuit IEEE Determining the retimed circuit that preserves the initial state IEEE Conventional minarea retiming Example of variation in the number of FF's with the initial state Another example of variation in the number of FF's with the initial state An example circuit where lower bound r is achievable A min area retiming without an equivalent initial state A minarea retiming with an equivalent initial state. 131

10 LIST OF FIGURES xi 6.13 Effect of justification choices on the number of FF's An example of a pruning technique Conditional register sharing at multiple fanouts An example of FF sharing An example of positive retiming An example of negative retiming The reset mapping IEEE Explicit reset circuitry Retiming using an explicit reset IEEE Effect of retiming on sequentially redundant faults: (a) the initial circuit, and (b) the retimed circuit IEEE Example illustrating the effect of forward retiming on replaceability Retiming structures with gated clocks IEEE Retiming precharged logic structures IEEE Effect of FF sharing on delay. 166

11 Preface While a decade ago, most books related to integrated circuits began with the phrase, "With the increasing complexity of VLSI circuits...," the new and trendy catch-phrase today is "deep submicron effects." Both of these phrases have been the subject of numerous jests, but it is undeniably true that with the increasing complexity of VLSI circuits and the onset of deep submicron effects, circuit design is becoming more complex. In such an environment, a paradigm shift is being seen, leading to the need for a more synergistic interaction between designers and CAD tools. Our excellent spies tell us that the preceding words will not be applauded 1 [ by the engineers for whom this book is written, and therefore, we feel obligated to switch from the language of Dilbert cliches to plain English. On the performance front, there is a vital need for techniques to design fast, low power chips with the minimum area for increasingly complex systems, while on the economic side, there is the vastly increased pressure of time-to-market. This book considers the problem of analyzing and optimizing the timing behavior of sequential circuits with special reference to performance parameters such as power and area. A unified approach to performance analysis and optimization of sequential circuits is presented. The state of the art in analysis and optimization techniques are described for circuits using edge-triggered or level-sensitive memory elements. The introductory chapter overviews techniques used for performance optimization of combinational and sequential circuits. Chapter 2 describes the edge-triggered and level-triggered clocking disciplines, and compares the two in terms of their relative advantages and disadvantages. Edge triggered circuits are relatively easier to design since they effectively decouple individual combinational blocks and reduce the complexity of the design, and this chapter begins with an overview of some efficient timing analysis techniques for edge-triggered circuits. The design of level-clocked circuits is more complicated than edgetriggered circuits, but offers significant performance enhancements in terms of reductions in area and the ability to operate at higher clock frequencies. The

12 xiv TIMING ANALYSIS AND OPTIMIZATION OF SEQUENTIAL CIRCUITS CAD techniques for clock period verification are correspondingly more computationally expensive. In the next part of the chapter, the state of the art in methods for formulation clocking constraints and verifying the correctness of a clocking discipline is described, followed by a discussion of clock schedule optimization and timing analysis methods for domino circuits. Chapter 3 describes a technique proposed for improving the circuit behavior, where the clocking network is designed to permit nonzero skews at the flip-flops/latches. A good amount of research has been carried out in this area in the recent past, and it has been found to give large improvements in the circuit performance. After motivating the section with an outline of the potential benefits of deliberate skew, a linear program formulation for optimal skew calculation is described. Next, the process of combining skew optimization with gate sizing, a circuit optimization technique, is detailed. Other applications of deliberate skews are also outlined, followed by a discussion on the design of clocking networks to provide deliberate nonzero skews. Chapters 4 and 5 deal with retiming, a technique used to improve the performance of the circuit by relocating memory elements. While the concept and a problem solution approach were first outlined in 1983, there has been a flurry of work in the last few years and this method has been transformed from a theoretically interesting, yet computationally expensive technique to one that can handle practical circuit sizes of tens of thousands of gates in minutes. Chapter 6 addresses the issue of retiming in finite state machines where it is important to retain the initial state of a circuit, or where the power-on states should remain the same. Several new algorithms for each of these problems have been proposed in the recent past, and these are described here. Finally, in Chapter 7, the application of retiming to aid other optimizations is described. The authors would like to acknowledge a number of sources. Thanks are due to all of the graduate students in our research group and collaborators who have worked on problems related to those discussed in this book. In particular, the work of Weitong Chuang, Rahul Deokar and Harsha Sathyamurthy has contributed greatly to our progress in this area. We would like to acknowledge our collaborators, Professor Ibrahim Hajj at the University of Illinois, and Jack Fishburn at Bell Labs. Jack has been a major source of inspiration to the second author in this work, and a special vote of thanks is due to him. We are grateful to Liang-fang Chao, Madhav Desai, Tony Drumm, Narendra Shenoy and Bapi Vinnakota for several discussions. Professor Sudhakar Reddy introduced us to test issues in retiming, and we are grateful to him for this. We would also like to thank Mahesh Ketkar for his efforts; his careful proofreading of the book has saved us from several major embarrassments. The work on this book was carried out at Iowa State University and at the University of Minnesota. We owe a debt of gratitude to the faculty, students and staff of both institutions for their support. We would also like to acknowledge the financial support of our funding agencies, specifically the National Science Foundation (contracts MIP and MIP ), the Semiconductor Research Corporation (contract 98-DJ-609), Intel Corporation, Digital Equipment

13 PREFACE xv Corporation, Cadence Design Systems, and a Lucent Technologies/DAC Graduate Scholarship. The first author thanks IBM for the opportunity to work with them over two summers. The credits for the cover are due to the excellent graphic designers at the University of Minnesota Printing Services. The help of Carl Harris and the staff at Kluwer Academic Publishers is also acknowledged. Last, but not the least, we would like to thank our family and friends for their support. Although we have attempted to provide a reasonably thorough overview of sequential timing optimization methods, we are acutely aware that no such effort can be entirely complete. We would like to apologize, in advance, for any omissions or errors and attribute them to the human factor. In closing, we reproduce an appropriate quotation from Jean de la Bruyere: "Making a book is a craft, as is making a clock." We hope that our craftsmanship is of adequate quality. N ARESH MAHESHW ARI SACHIN SAPATNEKAR

Manufacturing Challenges in Electronic Packaging

Manufacturing Challenges in Electronic Packaging Manufacturing Challenges in Electronic Packaging Manufacturing Challenges in Electronic Packaging Y.C. Lee University of Colorado, Boulder, CO, USA and WT. Chen formerly a Senior Technical Staff Member,

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Mohammed Ismail Ohio State University

More information

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design by Donald 0. Pederson University of California

More information

LOW POWER DESIGN METHODOLOGIES

LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES edited by Jan M. Rabaey University Califomia and Massoud Pedram University of Southem Califomia SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-46

More information

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY by Marco Berkhout MESA Research Institute, University of Twente, and Philips Semiconductors " ~ Springer Science+Business

More information

CONTENTS PREFACE. Part One THE DESIGN PROCESS: PROPERTIES, PARADIGMS AND THE EVOLUTIONARY STRUCTURE

CONTENTS PREFACE. Part One THE DESIGN PROCESS: PROPERTIES, PARADIGMS AND THE EVOLUTIONARY STRUCTURE Copyrighted Material Dan Braha and Oded Maimon, A Mathematical Theory of Design: Foundations, Algorithms, and Applications, Springer, 1998, 708 p., Hardcover, ISBN: 0-7923-5079-0. PREFACE Part One THE

More information

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRA TION Christina Manolatou Massachusetts Institute oftechnology Hermann A. Haus Massachusetts Institute oftechnology

More information

Real-time Adaptive Concepts in Acoustics

Real-time Adaptive Concepts in Acoustics Real-time Adaptive Concepts in Acoustics Real-time Adaptive Concepts in Acoustics Blind Signal Separation and Multichannel Echo Cancellation by Daniel W.E. Schobben, Ph. D. Philips Research Laboratories

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Principles of Data Security

Principles of Data Security Principles of Data Security FOUNDATIONS OF COMPUTER SCIENCE Series Editor: Raymond E. Miller Georgia Institute oj Technology PRINCIPLES OF DATA SECURITY Ernst L. Leiss Principles of Data Security Ernst

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

K-Best Decoders for 5G+ Wireless Communication

K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Department of Electrical and Computer Engineering Texas A&M University

More information

Timing Verification of Sequential Domino Circuits

Timing Verification of Sequential Domino Circuits Timing Verification of Sequential Domino Circuits David Van Campenhout, Trevor Mudge, and Karem A. Sakallah Advanced Computer Architecture Laboratory EECS Department, University of Michigan Ann Arbor,

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Product Development Strategy

Product Development Strategy Product Development Strategy Product Development Strategy Innovation Capacity and Entrepreneurial Firm Performance in High-Tech SMEs Mina Tajvidi Bangor Business School, Bangor University, UK and Azhdar

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

AUTOMATIC MODULATION RECOGNITION OF COMMUNICATION SIGNALS

AUTOMATIC MODULATION RECOGNITION OF COMMUNICATION SIGNALS AUTOMATIC MODULATION RECOGNITION OF COMMUNICATION SIGNALS AUTOMATIC MODULATION RECOGNITION OF COMMUNICATION SIGNALS by Eisayed Eisayed Azzouz Department 01 Electronic & Electrical Engineering, Military

More information

STATISTICAL MODELING FOR COMPUTER-AIDED DESIGN OF MOS VLSI CIRCUITS

STATISTICAL MODELING FOR COMPUTER-AIDED DESIGN OF MOS VLSI CIRCUITS STATISTICAL MODELING FOR COMPUTER-AIDED DESIGN OF MOS VLSI CIRCUITS THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Related titles:

More information

Advances in Computational and Stochastic Optimization, Logic Programming, and Heuristic Search

Advances in Computational and Stochastic Optimization, Logic Programming, and Heuristic Search Advances in Computational and Stochastic Optimization, Logic Programming, and Heuristic Search OPERATIONS RESEARCH/COMPUTER SCIENCE INTERFACES SERIES Ramesh Sharda, Series Editor ConocolDuPont Chair of

More information

ARTIFICIAL NEURAL NETWORKS Learning Algorithms, Performance Evaluation, and Applications

ARTIFICIAL NEURAL NETWORKS Learning Algorithms, Performance Evaluation, and Applications ARTIFICIAL NEURAL NETWORKS Learning Algorithms, Performance Evaluation, and Applications THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ARTIFICIAL NEURAL NETWORKS Learning Algorithms,

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

Integrated Circuit Design

Integrated Circuit Design Integrated Circuit Design Alan F. Murray and H. Martin Reekie Integrated Circuit Design Springer Science+Business Media, LLC Alan F. Murray and H. Martin Reekie 1987 Originally published by Springer-Ver1ag

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

Distributed Detection and Data Fusion

Distributed Detection and Data Fusion Distributed Detection and Data Fusion Springer Science+ Business Media, LLC Signal Processing and Data Fusion Synthetic Aperture Radar J.P. Fitch Multiplicative Complexity, Convolution and the DFT MT.

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w Identification of Critical Paths in Circuits with Level-Sensitive Latches Timothy M. Burks Karem A. Sakallah Trevor N. Mudge The University of Michigan Abstract This paper describes an approach to timing

More information

The Physical Design of Long Time Delay-chip

The Physical Design of Long Time Delay-chip 2011 International Conference on Computer Science and Information Technology (ICCSIT 2011) IPCSIT vol. 51 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V51.137 The Physical Design of Long

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Design of Logic Systems

Design of Logic Systems Design of Logic Systems Design of Logic Systems Second edition D. Lewin Formerly Professor of Computer Science and Information Engineering, University of Sheffield D. Protheroe Lecturer in Electronic Engineering,

More information

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs

Control Synthesis and Delay Sensor Deployment for Efficient ASV designs Control Synthesis and Delay Sensor Deployment for Efficient ASV designs C H A O FA N L I < C H AO F @ TA M U. E D U >, T E X A S A & M U N I V E RS I T Y S A C H I N S. S A PAT N E K A R, U N I V E RS

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, and Ting-Ting Lin Department of Computer Science and Engineering Mail Code 0114

More information

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic

Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Design of High Speed Power Efficient Combinational and Sequential Circuits Using Reversible Logic Basthana Kumari PG Scholar, Dept. of Electronics and Communication Engineering, Intell Engineering College,

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Brief History of Timing

A Brief History of Timing A Brief History of Timing David Hathaway February 28, 2005 Tau 2005 February 28, 2005 Outline Snapshots from past Taus Delay modeling Timing analysis Timing integration Future challenges 2 Tau 2005 February

More information

Modeling Manufacturing Systems. From Aggregate Planning to Real-Time Control

Modeling Manufacturing Systems. From Aggregate Planning to Real-Time Control Modeling Manufacturing Systems From Aggregate Planning to Real-Time Control Springer-Verlag Berlin Heidelberg GmbH Paolo Brandimarte. Agostino Villa (Eds.) Modeling Manufacturing Systems From Aggregate

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Safety Memo Kit Checkout Form Lecture slides Lectures: Chris Terman TAs: Karthik Balakrishnan HuangBin

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Lecture slides Lectures: Ike Chuang Chris Terman TAs: Javier Castro Eric Fellheimer Jae Lee Willie

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

ADVANCED POWER RECTIFIER CONCEPTS

ADVANCED POWER RECTIFIER CONCEPTS ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga Power Semiconductor Research Center North Carolina State University Raleigh, NC 27695-7924, USA bjbaliga@unity.ncsu.edu

More information

INTERTEMPORAL PRODUCTION FRONTIERS: WITH DYNAMIC DEA

INTERTEMPORAL PRODUCTION FRONTIERS: WITH DYNAMIC DEA INTERTEMPORAL PRODUCTION FRONTIERS: WITH DYNAMIC DEA INTERTEMPORAL PRODUCTION FRONTIERS: WITH DYNAMIC DEA Rolf Fare and Shawna Grosskopf Southern Illinois University at Carbondale Carbondale, Illinois

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM

TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM Transistor Circuits for Spacecraft Power System KengC. Wu Lockheed Martin Naval Electronics & Surveillance Systems Moorestown, NJ, USA.., ~ SPRINGER SCIENCE+BUSINESS

More information

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah To Boldly Do What Can t Be Done: Asynchronous Design for All Kenneth S. Stevens University of Utah 1 Scaling Moore s Law transistor counts double every one to two years Cost has followed inverse trend

More information

HYBRID NEURAL NETWORK AND EXPERT SYSTEMS

HYBRID NEURAL NETWORK AND EXPERT SYSTEMS HYBRID NEURAL NETWORK AND EXPERT SYSTEMS HYBRID NEURAL NETWORK AND EXPERT SYSTEMS by Larry R. Medsker Department of Computer Science and Information Systems The American University... " Springer Science+Business

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

PIPELINED LATTICE AND WAVE DIGITAL RECURSIVE FILTERS

PIPELINED LATTICE AND WAVE DIGITAL RECURSIVE FILTERS PIPELINED LATTICE AND WAVE DIGITAL RECURSIVE FILTERS THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE PIPELINED LATTICE AND WAVE DIGITAL RECURSIVE FILTERS by Jin-Gyun Chung Chonbuk National

More information

CMOS LOGIC CIRCUIT DESIGN

CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN John P. Uyemura Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW ebook ISBN: 0-306-47529-4 Print

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

Simultaneous Switching Noise of CMOS Devices and Systems

Simultaneous Switching Noise of CMOS Devices and Systems Simultaneous Switching Noise of CMOS Devices and Systems THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ELECTRONIC PACKAGING AND INTERCONNECTS Consulting Editor John L. Prince The

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Variation Tolerant On-Chip Interconnects

Variation Tolerant On-Chip Interconnects Variation Tolerant On-Chip Interconnects ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

More information

A FRAMEWORK FOR PERFORMING V&V WITHIN REUSE-BASED SOFTWARE ENGINEERING

A FRAMEWORK FOR PERFORMING V&V WITHIN REUSE-BASED SOFTWARE ENGINEERING A FRAMEWORK FOR PERFORMING V&V WITHIN REUSE-BASED SOFTWARE ENGINEERING Edward A. Addy eaddy@wvu.edu NASA/WVU Software Research Laboratory ABSTRACT Verification and validation (V&V) is performed during

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Claas Cornelius 1, Frank Grassert 1, Siegmar Köppe 2, Dirk Timmermann 1 1 University of Rostock, Germany 2 Infineon Technologies

More information

Computer Automation in Manufacturing

Computer Automation in Manufacturing Computer Automation in Manufacturing Computer Automation in Manufacturing An introduction Thomas O. Boucher Department of Industrial Engineering Rutgers University Piscataway NJ USA SPRINGER-SCIENCE+BUSINESS

More information

Performance Comparison of Various Clock Gating Techniques

Performance Comparison of Various Clock Gating Techniques IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. II (Jan - Feb. 2015), PP 15-20 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Comparison of Various

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

E E Verification and Control of Hybrid Systems

E E Verification and Control of Hybrid Systems E E Verification and Control of Hybrid Systems Paulo Tabuada Verification and Control of Hybrid Systems A Symbolic Approach Foreword by Rajeev Alur Paulo Tabuada Department of Electrical Engineering University

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information