Methodology: Interconnect examples

Size: px
Start display at page:

Download "Methodology: Interconnect examples"

Transcription

1 Circuit-to-System to Design-Space Exploration Methodology: Interconnect examples Ranko Sredojević and Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology

2 Convergence of platforms Only way to meet future system feature set, design cost, power, and performance requirements is by programming a processor array Multiple parallel general-purpose processors (GPPs) Multiple application-specific processors (ASPs) Intel Network Processor 1 GPP Core 16 ASPs (128 threads) 64b Stripe RDRA M 1 PCI (64b) 66 MHz RDRA M 2 Intel XScale Core 32K IC 32K DC RDRA M 3 Sun Niagara 8 GPP cores (32 threads) Intel 4004 (1971): 4-bit processor, 2312 transistors, ~100 KIPS, 10 micron PMOS, 11 mm 2 chip G A S K E T Cisco QDR QDR QDR QDR SRAM SRAM SRAM SRAM E/D Q E/D Q E/D Q E/D Q IXP280 S Rbuf 16b 128B 0 P I 4 or C S 16b I X Tbuf 128B Hash CSRs 48/64/1 -Scratc 28 Fast h_ wr -UART 16KB - Timers -GPIO - BootROM/Sl owport 1000s of processor cores per die IBM Cell 1 GPP (2 threads) 8 ASPs CSR Tensilica GPPs Picochip DSP 1 GPP core 248 ASPs The Processor is the new Transistor [Rowen] MIT ISG 2 2

3 Multi-core communication infrastructure IBM CELL Sun Niagara DIMM DIMM DIMM DIMM interface bus Centralized switch (Bus, Crossbar) Fast, but scales poorly Power hungry Distributed switch (e.g. Mesh) Slow, power hungry Other on-chip networks (fat tree, torus, etc) Compromise between density, power and latency/data rate Memory interfaces Power and density limit DIMM DIMM DIMM DIMM cross-bar mesh DIMM DIMM DIMM DIMM interfaces Intel Terascale rings MIT ISG 3 3

4 ISG playing field Interconnect Problem On-chip Network Off-chip I/O Network Architecture Design Optimization Communication (Eq., Mod, Coding) Energy/Bit (pj/bit) Equalized, 30mV Eye Equalized, 50mV Eye Equalized, 90mV Eye Repeated Link modeling, Characterization Circuits Tx, Rx, Ctrl, Meas inp inn I + Ithresh 2 clk outn outp inp outp I Ithresh clk 2 clk outn Q Q Data Rate Density y( (Gbps/um) pre-amp with offset comparator Interconnect technology CNTs Si-Photonics Cu [IBM] MIT ISG 4 4

5 High-speed link design is hard Designer s task minimize Power subject to Data rate SysClk TX Data RefClk 1/4 or 1/5 1 or 1/2 TX Parallel to Serial EQ Tclk Phase Mixer PLL Phase Mixer Mixer Rclk Vtt TXP TXN BER Area RX Data RX Clk Phase Control Serial to Parallel Rclk Vtt RXP RXN RX Equalizer Key questions Tap Selection Tap Weights Where to allocate the power and area? Which block has the most impact on data rate (BER)? Equalizers, PLL, CDR, precision samplers and pre-amps MIT ISG 5 5

6 Iterative design flow: HSL example Block interactions through system designer Assume enough design margin for flow to converge quickly Share: multidimensional i l performance tradeoff sets Integrated Systems Group 6

7 Optimization-driven design flow Optimization engine Block interactions handled simultaneously by solver Eliminate iterations due to multidimensional tradeoff comm. Share: interface variables (not the actual specifications!) Integrated Systems Group 7

8 Optimization-based design challenge Optimization engine Flexible, parameterized system level formulation is missing!! [Amsp:Hershenson 2001, Mandal 2001] Circuit optimization relatively mature [ADC: Hershenson 2002] Transistor sizing (since GP solvers) Biasing & improved accuracy (since Signomial solvers) Problem: system level formulation tractability Express system performance parameterized with all circuit parameters [PLL: Colleran 2003] Integrated Systems Group 8

9 Performance Metrics Tx FIR Rx IIR Parameterized filters Goal to parameterize performance metric (BER, eye opening) BER, eye opening

10 Seems straightforward Tx FIR Rx IIR Just need to find the parameterized symbol response! Each sample is a function of: TxEQ taps; RxEq gain, poles and zeroes; Channel response Parameterized symbol response BER Integrated Systems Group 10

11 A simple complexity example 2-taps Impulse response 20-bit sampled: 10x intervals long Oversampled: 10x Each sample is a function of: TxEQ taps; RxEq gain, poles and zeroes; Channel response IIR-type TF 2-real poles 30-term expansion (~3% accuracy) Parameterized symbol response BER Overal response length: =278 samples Number of total terms: 20x200x30x30=3.6e Average number of terms per sample: ~13,000 Hard to generate Integrated Systems Group 11

12 Math view Parameterized System Transfer Function Tx FIR Channel Rx Equalizer Transfer Function calculation is o.k. but FIR expansions for IIR explode the problem MIT ISG 12 12

13 Key idea: Fitting approach Easy to get parameterized transfer function Hard to get parameterized symbol response directly! Discover the symbol response samples by fitting Make this process a part of system optimization Parameterized system H(f,p) transfer function h(t,p) Fitted, sampled transfer function f Previous example (278 samples, 2 nd order IIR) 280 equations with 2 terms each (complexity hidden in this system) Integrated Systems Group 13 t

14 Math view H(f,p) Fitting Error Fitting variables h Make fitting part of system optimization f Compact representation Can generate symbolic formulation quickly Hard to solve Each constraint contains ALL optimization variables p,h Integrated Systems Group 14

15 Simplifying the equations Degree of IIR filters (r) << Number of samples (n) Use IDFT Easy to solve Only (r) h variables per constraint Integrated Systems Group 15

16 Verifying the idea Symbol response accuracy formulation parameter Tradeoff between accuracy and solver time 6.25Gb/s: Matching of Matlab simulation to a design instance Integrated Systems Group 16

17 Simultaneous system and circuit design Performance (eye) System formulation Specs ( block parameters) Specs (taps, swing) TxEq model: W, L, I, Vdd, Optimization engine RxEq model: W, L, I, Performance (power, area) Performance (power, area, common mode) Specs (gain, poles, zeros, dynamic range) Integrated Systems Group 17

18 Transmit Equalizer circuit formulation Circuit variables Specifications Swing, taps Performance Power, area W, L, I, vddout Biasing KVL, KCL vddout and swing dep. To keep M0 and M1 in sat. Integrated Systems Group 18

19 Transmit Equalizer circuit formulation Circuit variables Specifications Swing, taps Performance Power, area W, L, I, vddout Biasing KVL, KCL vddout and swing dep. To keep M0 and M1 in sat. Integrated Systems Group 19

20 Receive Equalizer circuit formulation Specifications Gain, poles, zeros Design variables Performance Biasing WLIbias W, L, Ibias, Cs, Rs, Power, area Input DR and sizing of M1 and M2 for sat. Integrated Systems Group 20

21 Receive Equalizer circuit formulation Tustin s approx best for discretization DR Specifications Gain, poles, zeros Linearity Design variables Performance Biasing WLI W, L, bias, C s, R s, Power, area Input DR and sizing of M 1 and M 2 for sat. Integrated Systems Group 21

22 Putting it all together code sample DC-coupled biasing Performance Objective Integrated Systems Group

23 A look under the hood Integrated Systems Group

24 Optimization results: Setup Channel 32 ATCA Minimize link power s.t. eye>100mv Integrated Systems Group 24

25 Optimization results: Power vs. timing Timing and equalization a chicken and egg problem CDR/equalization interaction Filters burn more power to achieve less phase delay! Integrated Systems Group 25

26 Optimization results: Power vs. data-rate Added DFE w/o power penalty to keep eye>100mv Transmit/receive equalizer interaction At lower speeds: equalization in transmitter At higher h speeds: Tx reduces Rx input dynamic range Integrated Systems Group 26

27 Optimization results: Paremeter values Rate [Gb/s] swingin [mv] afr None [1 -.42] [1 -.52] [ ] [ ] RxEq gain RxEq zero [GHz] RxEq dp [GHz] RxEq sp [GHz] RxEq has no significant peaking below Nyquist frequency At 8 and 10 Gb/s (with DFE) TxEq mostly dynamic range limiter for receiver wideband amplifier Integrated Systems Group 27

28 Verification and Run-time Good matching with Spectre 30% in V dsat (often solved with multiple corner optimization) 10% in gain, swing, power, 25% in pole/zero locations (traced to model and derivation) Very efficient flow Size: variables, 4500 to 5500 constraints Parsing: 15min to 1hr Solving: 50min to 3hrs Generating system level: 10mins MIT ISG 28 28

29 Conclusions Circuit-to-system design of HSLs is iterative and inefficient Tractable system formulation main problem in circuitto-system optimization-based flow Key insights No need for explicit expressions Apply fitting approach to reduce complexity First joint system and circuit design attempt in optimization environment Results verified and match intuition TxEq/RxEq interaction discovered (equalization, biasing) Not obvious to link designers Integrated Systems Group 29

30 Acknowledgments Tool/solver support Sabio Labs/Magma DA and MOSEK Sponsors Lincoln Labs, MIT CICS and MARCO C2S2 MIT ISG 30 30

31 Summer Short courses High-Speed I/O Design Techniques V. Stojanovic June 23-25, 2008 (registration deadline: May 23) MIT Campus Cambridge, MA Explore the circuit and system design of equalized high-speed I/Os. Following an introduction to the basics, focus on different link equalization techniques, comparing them from a system perspective and from the performance of resulting circuit implementations. Course includes one day of hands-on lab experience. Learn more at: Professionalinstitute.mit.edu

Yield-driven Robust Iterative Circuit Optimization

Yield-driven Robust Iterative Circuit Optimization Yield-driven Robust Iterative Circuit Optimization Yan Li, Vladimir Stojanovic July 29, 2009 Integrated System Group Massachusetts Institute of Technology Systems-on-chip is difficult to design Integrated

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

Exascale Challenges for the Computational Science Community

Exascale Challenges for the Computational Science Community Exascale Challenges for the Computational Science Community Horst Simon Lawrence Berkeley National Laboratory and UC Berkeley Oklahoma Supercomputing Symposium 2010 October 6, 2010 Key Message The transition

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

MIT Wireless Gigabit Local Area Network WiGLAN

MIT Wireless Gigabit Local Area Network WiGLAN MIT Wireless Gigabit Local Area Network WiGLAN Charles G. Sodini Department of Electrical Engineering and Computer Science Room 39-527 Phone (617) 253-4938 E-Mail: sodini@mit.edu Sponsors: MARCO, SRC,

More information

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS EECS240 Spring 2009 Advanced Analog Integrated Circuits Lecture 1: Introduction Elad Alon Dept. of EECS Course Focus Focus is on analog design Typically: Specs circuit topology layout Will learn spec-driven

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours EECS240 Spring 2012 Advanced Analog Integrated Circuits Lecture 1: Introduction Teaching Staff Elad s office hours 519 Cory Hall Tues. and Thurs. 11am-12pm (right after class) GSI: Pierluigi Nuzzo Weekly

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

Case Study: Spice Macromodeling for PCI Express using IBIS 4.2

Case Study: Spice Macromodeling for PCI Express using IBIS 4.2 INVENTIVE Case Study: Spice Macromodeling for PCI Express using IBIS 4.2 Lance Wang Email: lwang@cadence.com IBIS Asian Summit Oct. 27 th, 2006, Shanghai, China Outline PCI Express Serial Link Macromodeling

More information

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector

A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector A 400 MHz 4.5 nw 63.8 dbm Sensitivity Wake-up Receiver Employing an Active Pseudo-Balun Envelope Detector Po-Han Peter Wang, Haowei Jiang, Li Gao, Pinar Sen, Young-Han Kim, Gabriel M. Rebeiz, Patrick P.

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

An Alternative Approach to Bit Error Rate Testing (BERT)

An Alternative Approach to Bit Error Rate Testing (BERT) An Alternative Approach to Bit Error Rate Testing (BERT) Cost Effective Method Yields Better Real World Results The traditional method of design margin testing in serial data communication systems is to

More information

EQUALIZERS. HOW DO? BY: ANKIT JAIN

EQUALIZERS. HOW DO? BY: ANKIT JAIN EQUALIZERS. HOW DO? BY: ANKIT JAIN AGENDA DFE (Decision Feedback Equalizer) Basics FFE (Feed-Forward Equalizer) Basics CTLE (Continuous-Time Linear Equalizer) Basics More Complex Equalization UNDERSTANDING

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9" FR4 26" FR4. 9" FR4, via stub.

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9 FR4 26 FR4. 9 FR4, via stub. EE29C Spring 211 Lecture 5: Equalization Techniques Elad Alon Dept. of EECS Link Channels Attenuation [db] -1-2 -3-4 -5 9" FR4, via stub 9" FR4 26" FR4-6 26" FR4, via stub 2 4 6 8 1 frequency [GHz] EE29C

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 1 OUTLINE Transmitter Performance Evaluation Block Diagram

More information

SiGe PLL design at 28 GHz

SiGe PLL design at 28 GHz SiGe PLL design at 28 GHz 2015-09-23 Tobias Tired Electrical and Information Technology Lund University May 14, 2012 Waqas Ahmad (Lund University) Presentation outline E-band wireless backhaul Beam forming

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Who am I? EECS240 Spring Administrative. Teaching Staff. References. Lecture Notes. Advanced Analog Integrated Circuits Lecture 1: Introduction

Who am I? EECS240 Spring Administrative. Teaching Staff. References. Lecture Notes. Advanced Analog Integrated Circuits Lecture 1: Introduction Who am I? EECS240 Spring 2013 Advanced Analog Integrated Circuits Lecture 1: Introduction Lingkai Kong Ph.D. in EECS, UC Berkeley, Dec. 2012. Currently a post-doc at BWRC Thesis: 60GHz Energy-Efficient

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom Experimental results on single wavelength 100Gbps PAM4 modulation Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom 1 Past Presentations Selection of presentations at ieee

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Statistical Link Modeling

Statistical Link Modeling April 26, 2018 Wendem Beyene UIUC ECE 546 Statistical Link Modeling Review of Basic Techniques What is a High-Speed Link? 1011...001 TX Channel RX 1011...001 Clock Clock Three basic building blocks: Transmitter,

More information

WWDM Transceiver Module for 10-Gb/s Ethernet

WWDM Transceiver Module for 10-Gb/s Ethernet WWDM Transceiver Module for 10-Gb/s Ethernet Brian E. Lemoff Hewlett-Packard Laboratories lemoff@hpl.hp.com IEEE 802.3 HSSG Interim Meeting Coeur d Alene, Idaho June 1-3, 1999 Why pursue WWDM for the LAN?

More information

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Radiation Hardened RF Transceiver For In-Containment Environment Applications Using Commercial Off the Shelf Components

Radiation Hardened RF Transceiver For In-Containment Environment Applications Using Commercial Off the Shelf Components Radiation Hardened RF Transceiver For In-Containment Environment Applications Using Commercial Off the Shelf Components Shawn C. Stafford, Jorge V. Carvajal, Jonathan E. Baisch Westinghouse Electric Company

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

Design and Simulation of Low Dropout Regulator

Design and Simulation of Low Dropout Regulator Design and Simulation of Low Dropout Regulator Chaitra S Kumar 1, K Sujatha 2 1 MTech Student, Department of Electronics, BMSCE, Bangalore, India 2 Assistant Professor, Department of Electronics, BMSCE,

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By High Speed I/O 2-PAM Receiver Design EE215E Project Signaling and Synchronization Submitted By Amrutha Iyer Kalpana Manickavasagam Pritika Dandriyal Joseph P Mathew Problem Statement To Design a high speed

More information

Nonlinear Equalization Processor IC for Wideband Receivers and

Nonlinear Equalization Processor IC for Wideband Receivers and Nonlinear Equalization Processor IC for Wideband Receivers and Sensors William S. Song, Joshua I. Kramer, James R. Mann, Karen M. Gettings, Gil M. Raz, Joel I. Goodman, Benjamin A. Miller, Matthew Herman,

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits.

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits. 1 ECEN 720 High-Speed Links Circuits and Systems Lab6 Link Modeling with ADS Objective To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

Bridging the Gap between System & Circuit Designers

Bridging the Gap between System & Circuit Designers Bridging the Gap between System & Circuit Designers October 27, 2004 Presented by: Kal Kalbasi Q & A Marc Petersen Copyright 2003 Agilent Technologies, Inc. The Gap System Communication System Design System

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

Cognitive Radio Platform Technology

Cognitive Radio Platform Technology Cognitive Radio Platform Technology Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu seskar (at) winlab (dot) rutgers (dot) edu Complexity/Performance Tradeoffs Efficient

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter John McNeill Vladimir Zlatkovic David Bowler Lawrence M. DeVito ANALOG DEVICES Application Presentation

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Short Range UWB Radio Systems. Finding the power/area limits of

Short Range UWB Radio Systems. Finding the power/area limits of Short Range UWB Radio Systems Finding the power/area limits of CMOS Bob Brodersen Ian O Donnell Mike Chen Stanley Wang Integrated Impulse Transceiver RF Front-End LNA Pulser Amp Analog CLK GEN PMF Digital

More information

Testing Sensors & Actors Using Digital Oscilloscopes

Testing Sensors & Actors Using Digital Oscilloscopes Testing Sensors & Actors Using Digital Oscilloscopes APPLICATION BRIEF February 14, 2012 Dr. Michael Lauterbach & Arthur Pini Summary Sensors and actors are used in a wide variety of electronic products

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Differential Amplifier Design

Differential Amplifier Design Fall - 2009 EE114 - Design Project Differential Amplifier Design Submitted by Piyush Keshri (0559 4497) Jeffrey Tu (0554 4565) On November 20th, 2009 EE114 - Design Project Stanford University Page No.

More information

F i n i s a r. Product Specification C.wire 120 Gb/s Parallel Active Optical Cable FCBGD10CD1Cxx

F i n i s a r. Product Specification C.wire 120 Gb/s Parallel Active Optical Cable FCBGD10CD1Cxx Product Specification C.wire 120 Gb/s Parallel Active Optical Cable FCBGD10CD1Cxx PRODUCT FEATURES 12-channel full-duplex active optical cable Electrical interface only Multirate capability: 1.06Gb/s to

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Application of PC Vias to Configurable RF Circuits

Application of PC Vias to Configurable RF Circuits Application of PC Vias to Configurable RF Circuits March 24, 2008 Prof. Jeyanandh Paramesh Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh, PA 15213 Ultimate Goal:

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler

DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler UXD20P Datasheet CENTELLAX DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler Features Wide Operating Range: DC - 20GHz Low SSB Phase Noise: -153 dbc @ 10kHz Large Output Swings: 750mV ppk/side Single-Ended

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL A PROGRAMMABLE PRE-CUROR II EQUALIZATION CIRCUIT FOR HIGH-PEED ERIAL LINK OVER HIGHLY LOY BACKPLANE CHANNEL Bo Wang, Dianyong Chen, Bangli Liang, Jinguang Jiang 2 and Tad Kwasniewski DOE, Carleton University,

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS

Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS Reconfigurable and Simultaneous Dual Band Galileo/GPS Front-end Receiver in 0.13µm RFCMOS A. Pizzarulli 1, G. Montagna 2, M. Pini 3, S. Salerno 4, N.Lofu 2 and G. Sensalari 1 (1) Fondazione Torino Wireless,

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

DURIP Distributed SDR testbed for Collaborative Research. Wednesday, November 19, 14

DURIP Distributed SDR testbed for Collaborative Research. Wednesday, November 19, 14 DURIP Distributed SDR testbed for Collaborative Research Distributed Software Defined Radar Testbed Collaborative research resource based on software defined radar (SDR) platforms that can adaptively modify

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Building IBIS-AMI Models From Datasheet Specifications

Building IBIS-AMI Models From Datasheet Specifications TITLE Building IBIS-AMI Models From Datasheet Specifications Eugene Lim, (Intel of Canada) Donald Telian, (SiGuys Consulting) Image SPEAKERS Eugene K Lim Hardware Design Engineer, Intel Corporation eugene.k.lim@intel.com

More information

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 A Complete 64Gb/s/lane Active Electrical Repeater Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 The Electrical Signaling Challenge Required I/O speed rising dramatically, but power

More information

CFORTH-QSFP28-100G-AOCxM Specification Rev. D00A. Applications

CFORTH-QSFP28-100G-AOCxM Specification Rev. D00A. Applications CFORTH-QSFP28-100G-AOCxM Specification Rev. D00A Preliminary DATA SHEET CFORTH-QSFP28-100G-AOCxM 100Gb/s QSFP28 Active Optical Cable Transceiver CFORTH-QSFP28-100G-AOCxM Overview CFORTH-QSFP28-100G-AOCxM

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

Senior Project Manager / Keysight Tech. AEO

Senior Project Manager / Keysight Tech. AEO Francis Liu 2018.12.18&20 Senior Project Manager / Keysight Tech. AEO PCIe 4.0 and 5.0 Technology Update Simulation & Measurement 2 PCI Express 4.0 TX / LTSSM Link EQ / RX Testing PCI Express 5.0 Preview

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

2012 LitePoint Corp LitePoint, A Teradyne Company. All rights reserved.

2012 LitePoint Corp LitePoint, A Teradyne Company. All rights reserved. LTE TDD What to Test and Why 2012 LitePoint Corp. 2012 LitePoint, A Teradyne Company. All rights reserved. Agenda LTE Overview LTE Measurements Testing LTE TDD Where to Begin? Building a LTE TDD Verification

More information

F i n i s a r. Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx

F i n i s a r. Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx Product Specification Quadwire 40 Gb/s Parallel Active Optical Cable FCBG410QB1Cxx PRODUCT FEATURES Four-channel full-duplex active optical cable Electrical interface only Multirate capability: 1.06Gb/s

More information

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond Invited Paper Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 1 Gb/s and Beyond Petar Pepeljugoski *, Mark Ritter, Jeffrey A. Kash, Fuad Doany, Clint Schow, Young Kwark,

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

Hardware Platforms and Sensors

Hardware Platforms and Sensors Hardware Platforms and Sensors Tom Spink Including material adapted from Bjoern Franke and Michael O Boyle Hardware Platform A hardware platform describes the physical components that go to make up a particular

More information

TDECQ changes and consequent spec limits

TDECQ changes and consequent spec limits TDECQ changes and consequent spec limits 802.3bs SMF ad hoc, 13th June 2017 Jonathan King, Finisar With data from Marco Mazzini, Cisco Marlin Viss, Keysight 1 Intro: Link budget, OMA outer and TDECQ Power

More information

European IBIS Summit Baveno, Italy May 10, 2017

European IBIS Summit Baveno, Italy May 10, 2017 European IBIS Summit Baveno, Italy May 10, 2017 Gianni Signorini (1), Claudio Siviero (2), Igor Simone Stievano (2), Stefano Grivet-Talocia (2) (1) Intel Corporation, Munich Germany (2) Politecnico di

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information