EE260: Digital Design, Spring n More Logic Gates n NAND and NOR Gates

Size: px
Start display at page:

Download "EE260: Digital Design, Spring n More Logic Gates n NAND and NOR Gates"

Transcription

1 EE26: igital esign, Spring 28 -eb-8 EE 26: Introduction to igital esign oolean lgebra: Logic Synthesis and Timing Hazards ao Zheng epartment of Electrical Engineering University of Hawaiʻi at Mānoa Overview n More Logic Gates n NN and NOR Gates n NN and NOR circuits n Two-level Implementations n Multilevel Implementations n Exclusive-OR (OR) Gates n Odd unction n Parity Generation and hecking More Logic Gates n We can construct any combinational circuit with N, OR, and NOT gates UER, NN and NOR n dditional logic gates are used for practical reasons OR and NOR NN Gate OR: not-equal gate NOR: equal gate = Å = Å n Known as a universal gate because N digital circuit can be implemented with NN gates alone. n To prove the above, it suffices to show that N, OR, and NOT can be implemented using NN gates only. hapter 3: Logic Synthesis and Timing Hazards

2 EE26: igital esign, Spring 28 -eb-8 NN Gate Emulation NN ircuits = ( ) = + = = (( ) ) = ( + ) = = = ( ) = + = + = = + n To easily derive a NN implementation of a boolean function: n ind a simplified SOP n SOP is an N-OR circuit n hange N-OR circuit to a NN circuit n Use the alternative symbols below N-OR (SOP) Emulation Using NNs N-OR (SOP) Emulation Using NNs (cont.) a) Original SOP Two-level implementations b) Implementation with NNs Verify: (a) G = W + Z (b) G = ( (W) (Z) ) = (W) + (Z) = W + Z SOP with NN (again!) Two-Level NN Gate Implementation - Example (a) (b) (c) Original SOP ouble inversion and grouping Replacement with NNs N-NOT NOT-OR (,,Z) = Sm(,6). Express in SOP form: = Z + Z 2. Obtain the N-OR implementation for. 3. dd bubbles and inverters to transform N-OR to NN-NN gates. hapter 3: Logic Synthesis and Timing Hazards 2

3 EE26: igital esign, Spring 28 -eb-8 Example (cont.) Two-level implementation with NNs = Z + Z Multilevel NN ircuits Starting from a multilevel circuit:. onvert all N gates to NN gates with N-NOT graphic symbols. 2. onvert all OR gates to NN gates with NOT-OR graphic symbols. 3. heck all the bubbles in the diagram. or every bubble that is not counteracted by another bubble along the same line, insert a NOT gate or complement the input literal from its original appearance. Example et nother Example! Use NN gates and NOT gates to implement Z=E (+ + )+GH + + E (+ + ) E (+ + )+GH NOR Gate n lso a universal gate because N digital circuit can be implemented with NOR gates alone. n This can be similarly proven as with the NN gate NOR ircuits n To easily derive a NOR implementation of a boolean function: n ind a simplified POS n POS is an OR-N circuit n hange OR-N circuit to a NOR circuit n Use the alternative symbols below hapter 3: Logic Synthesis and Timing Hazards 3

4 EE26: igital esign, Spring 28 -eb-8 Two-Level NOR Gate Implementation - Example (,,Z) = Sm(,6). Express in SOP form:. = Sm(,2,3,4,5,7) = Z + Z + Z + Z + Z + Z 2. = + + Z 2. Take the complement of to get in the POS form: = ( )' = ('+)(+')Z' 3. Obtain the OR-N implementation for. 4. dd bubbles and inverters to transform OR- N implementation to NOR-NOR implementation. Example (cont.) Two-level implementation with NORs = ( )' = ('+)(+')Z' Multilevel NOR ircuits Starting from a multilevel circuit:. onvert all OR gates to NOR gates with OR-NOT graphic symbols. 2. onvert all N gates to NOR gates with NOT-N graphic symbols. 3. heck all the bubbles in the diagram. or every bubble that is not counteracted by another bubble along the same line, insert a NOT gate or complement the input literal from its original appearance. Exclusive-OR (OR) unction n OR (also Å) : the not-equal function n OR(,) = Å = + n Identities: n Å = n Å = n Å = n Å = n Properties: n Å = Å n ( Å ) Å W = Å ( Å W) OR function implementation OR circuit with 4 NNs n OR(a,b) = ab + a b n Straightforward: 5 gates n 2 inverters, two 2-input Ns, one 2-input OR n 2 inverters & 3 2-input NNs n Nonstraightforward: n 4 NN gates hapter 3: Logic Synthesis and Timing Hazards 4

5 EE26: igital esign, Spring 28 -eb-8 OR circuit with 4 NNs SMRT ESIGN REUE TIVE EVIES On-hip SRM on logic chip RM on memory chip [ rom oss, R.. Implementing pplication- Specific Memory, ISS 996 ] 8 Exclusive-NOR (NOR) unction n NOR: the equality function n NOR(a,b) = ab + a b n Observe that NOR(a,b) = ( OR(a,b) ) n ( a Å b ) = ( a b + ab ) = (a b) (ab ) = (a + b ) (a +b) = ab + a b n a Å b = ( a Å b ) = a Å b n xåy = x y + xy Odd unction n xåyåz = xy z + x yz + x y z +xyz n xåyåzåw = x yzw + xy zw + xyz w + xyzw + x y z w + x yz w + x y zw +xy z w n Observe a pattern here? n n n-input OR function is implied (=) by all the minterms that have an odd # of s n Thus, OR is also know as the odd function Odd unction (cont.) Odd unction (cont.) Minterms are LWS distance two from each other hapter 3: Logic Synthesis and Timing Hazards 5

6 EE26: igital esign, Spring 28 -eb-8 Even unction n How would you implement an even function? The complement of OR à NOR Parity Generation and hecking n Odd and even functions can be used to implement parity checking circuits used for error detection and correction. n Use even parity as example. n Parity generator: the circuit that generates the parity bit before transmitting. n Parity checker: the circuit that checks the parity in the receiver. Even Parity Generation Even Parity hecking How would you implement a parity checker for the previous example? n P(,,Z) must produce a for all the input combinations that contain an odd number of s n Thus, it is a 3-input odd function P = ÅÅZ Use a 4-input OR circuit (odd function) = ÅÅZÅP à indicates an error OR 4-input NOR circuit (even function) = (ÅÅZÅP) à indicates a pass Transmission Gates n The transmission gate is one of the designs for an electronic switch for connecting and disconnecting two points in a circuit: TG (a) = and = (b) = and = (c) (d) TG Transmission Gates (continued) n In many cases, can be regarded as a data input and as an output. and, with complementary values applied, is a control input. n With these definitions, the transmission gate, provides a 3-state output: n =, = ( = or ) n =, = Hi-Z n are needs to be taken when using the TG in design, however, since and as input and output are interchangeable, and signals can pass in both directions. hapter 3: Logic Synthesis and Timing Hazards 6

7 EE26: igital esign, Spring 28 -eb-8 ircuit Example Using TG n Exclusive OR = + n (a) TG TG TG No path Path Path No path Path Path The basis for the function implementation is TG controlled paths to the output (b) TG No path No path More omplex Gates n The remaining complex gates are SOP or POS structures with and without an output inverter. n The names are derived using: n - N n O - OR n I - Inverter n Numbers of inputs on first-level gates or directly to second-level gates More omplex Gates (continued) n Example: OI - N-OR-Invert consists of a single gate with N functions driving an OR function which is inverted. n These gate types are used because: n The number of transistors needed is fewer than required by connecting together primitive gates n Potentially, the circuit delay is smaller, increasing the circuit operating speed n Timing diagrams n Hazards n Static Hazards n ynamic Hazards n Mitigation Timing Hazards oncepts of elays and Timing n or a given gate, the gate delay refers to the time it takes the output signal to respond to in input transition n Why is there a gate delay? n n Gate elays There are actual resistances and capacitances inside digital logic If you apply a unit step voltage signal to an input, the output will not respond immediately, but after a delay proportional to R. Resistance of driver outpu t input Input Output T delay = R. apacitance of load hapter 3: Logic Synthesis and Timing Hazards 7

8 EE26: igital esign, Spring 28 -eb-8 Timing diagrams (waveforms) n Shows time-response of circuits n Like a sideways truth table n Example: = + Timing diagrams n Real gates have real delays n Example: ' =? time width of 3 gate delays n elays cause transient = = + in 2-level logic Timing diagram for = + canonical sum-of-products n Time waveforms for 4 are identical except for glitches 2 minimized sum-of-products 3 canonical product-of-sums minimized product-of-sums 4 Hazards and glitches n glitch: unwanted output n circuit with the potential for a glitch has a hazard. n Glitches occur when different pathways have different delays n auses circuit noise n angerous if logic makes a decision while output is unstable Hazards and glitches n Solutions n esign hazard-free circuits n ifficult when logic is multilevel n Wait until signals are stable hapter 3: Logic Synthesis and Timing Hazards 8

9 EE26: igital esign, Spring 28 -eb-8 Types of hazards n Static -hazard n Output should stay logic n Gate delays cause brief glitch to logic n Static -hazard n Output should stay logic n Gate delays cause brief glitch to logic Static hazards n Often occurs when a literal and its complement momentarily assume the same value n Through different paths with different delays n auses an (ideally) static output to glitch n ynamic hazards n Output should toggle cleanly n Gate delays cause multiple transitions Static hazards Timing diagram for = + multiplexer S S S' S' static- hazard = + in 2-level logic Static hazards canonical product-of-sums 3 hapter 3: Logic Synthesis and Timing Hazards 9

10 EE26: igital esign, Spring 28 -eb-8 Timing diagram for = + = + in 2-level logic canonical sum-of-products ynamic hazards ynamic hazards n Often occurs when a literal assumes multiple values n Through different paths with different delays n auses an output to toggle multiple times ynamic hazards ynamic hazard Eliminating static hazards Eliminating static hazards n Key idea: Glitches happen when a changing input spans separate K-map encirclements n : à n Example: to change can cause a static- glitch ' ' = ' + ' hapter 3: Logic Synthesis and Timing Hazards

11 EE26: igital esign, Spring 28 -eb-8 Eliminating static hazards Eliminating static hazards n Solution: dd redundant K-map encirclements n Ensure that all single-bit changes are covered by same block n irst eliminate static- hazards: Use SOP form n If need to eliminate static- hazards, use POS form n Technique only works for 2-level logic = ' + ' + ' ' ' ' Summary of hazards n We can eliminate static hazards in 2-level logic for single-bit changes n Eliminating static hazards also eliminates dynamic hazards n Hazards are a difficult problem n Multiple-bit changes in 2-level logic are hard n Static hazards in multilevel logic are harder n ynamic hazards in multilevel logic are harder yet hapter 3: Logic Synthesis and Timing Hazards

SYNTHESIS OF COMBINATIONAL CIRCUITS

SYNTHESIS OF COMBINATIONAL CIRCUITS HPTER 6 SYNTHESIS O OMINTIONL IRUITS 6.1 Introduction oolean functions can be expressed in the forms of sum-of-products and productof-sums. These expressions can also be minimized using algebraic manipulations

More information

Module 4: Combinational Logic Glitches and Hazards

Module 4: Combinational Logic Glitches and Hazards Module 4: Combinational Logic Glitches and Hazards Wakerly: Chapter 4 (part 3) : ECEN 3233 r. Keith. Teague Spring 23 23 TIME RESPONSE in Combinational Networks emphasis on timing behavior of circuits

More information

De Morgan s second theorem: The complement of a product is equal to the sum of the complements.

De Morgan s second theorem: The complement of a product is equal to the sum of the complements. Q. What is Gate? State and prove De Morgan s theorems. nswer: digital circuit having one or more input signals but only one output signal is called a gate. De Morgan s first theorem: The complement of

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Introduction to igital Prof Nizamettin IN naydin@yildizedutr naydin@ieeeorg ourse Outline igital omputers, Number Systems, rithmetic Operations, ecimal, lphanumeric, and Gray odes 2 inary, Gates, oolean

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit Outline EES 5 - omponents and esign Techniques for igital Systems Lec 2 - Timing avid uller Electrical Engineering and omputer Sciences University of alifornia, erkeley Performance Limits of Synchronous

More information

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER CONTENTS 3.1 Introduction to Basic Gates 3.2 Analysing A Combinational Logic Circuit 3.3 Design A Combinational Logic Circuit From Boolean Expression

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 5 Floyd, Digital Fundamentals, th ed 28 Pearson Education 29 Pearson Education, Upper Saddle River, NJ 7458. ll Rights Reserved ombinational Logic ircuits

More information

Logic Symbols with Truth Tables INVERTER A B NAND A B C NOR C A B A B C XNOR A B C A B Digital Logic 1

Logic Symbols with Truth Tables INVERTER A B NAND A B C NOR C A B A B C XNOR A B C A B Digital Logic 1 Slide Logic Symbols with Truth Tables UFFER INVERTER ND NND OR NOR XOR XNOR 6.7 Digital Logic Digital logic can be described in terms of standard logic symbols and their corresponding truth tables. The

More information

Odd-Prime Number Detector The table of minterms is represented. Table 13.1

Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Minterm A B C D E 1 0 0 0 0 1 3 0 0 0 1 1 5 0 0 1 0 1 7 0 0 1 1 1 11 0 1 0 1 1 13 0 1 1 0 1 17 1 0 0 0 1 19 1 0 0 1 1 23 1 0 1

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 7 & 8 NAND and XOR Implementations Combinational Design Procedure NAND-NAND & NOR-NOR Networks DeMorgan

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

ELEC Digital Logic Circuits Fall 2015 Logic Synthesis (Chapters 2-5)

ELEC Digital Logic Circuits Fall 2015 Logic Synthesis (Chapters 2-5) ELE 2200-002 igital Logic ircuits Fall 2015 Logic Synthesis (hapters 2-5) Vishwani. grawal James J. anaher Professor epartment of Electrical and omputer Engineering uburn University, uburn, L 36849 http://www.eng.auburn.edu/~vagrawal

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows:

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows: Combinational Logic Logic circuits for digital systems may be combinational or sequential. combinational circuit consists of input variables, logic gates, and output variables. 1 nalysis procedure To obtain

More information

Exercise 2: OR/NOR Logic Functions

Exercise 2: OR/NOR Logic Functions Exercise 2: OR/NOR Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an OR and a NOR logic gate. You will verify your results by generating

More information

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 La Rosa EXPERIMENT #5 COMINTIONL and SEUENTIL LOGIC CIRCUITS Hardware implementation and software design I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational

More information

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha EE520 VLSI esign Lecture 11: ombinational Static Logic Prof. Payman Zarkesh-Ha Office: EE ldg. 230 Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 eview of Last

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

Lecture 15 Analysis of Combinational Circuits

Lecture 15 Analysis of Combinational Circuits Lecture 15 Analysis of Combinational Circuits Designing Combinational Logic Circuits A logic circuit having 3 inputs, A, B, C will have its output HIGH only when a majority of the inputs are HIGH. Step

More information

In this lecture: Lecture 8: ROM & Programmable Logic Devices

In this lecture: Lecture 8: ROM & Programmable Logic Devices In this lecture: Lecture 8: ROM Programmable Logic Devices Dr Pete Sedcole Department of EE Engineering Imperial College London http://caseeicacuk/~nps/ (Floyd, 3 5, 3) (Tocci 2, 24, 25, 27, 28, 3 34)

More information

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions Combinational logic! Switches, basic logic and truth tables, logic functions! Algebraic expressions to gates! Mapping to different gates! Discrete logic gate components (used in labs and 2)! Canonical

More information

Subject: Analog and Digital Electronics Code:15CS32

Subject: Analog and Digital Electronics Code:15CS32 Subject: Analog and Digital Electronics Code:15CS32 Syllabus: The Basic Gates : Review of Basic Logic gates, Positive and Negative Logic, Introduction to HDL. Combinational Logic Circuits:Sum-of-Products

More information

6.1 In this section, you will design (but NOT build) a circuit with 4 inputs,

6.1 In this section, you will design (but NOT build) a circuit with 4 inputs, EE 2449 Experiment 6 Jack Levine and Nancy Warter-Perez //208 CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 6 COMBINATIONAL

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02)

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02) 2145230 Aircraft Electricity and Electronics Asst. Prof. Thavatchai Tayjasanant, PhD Email: taytaycu@gmail.com aycu@g a co Power System Research Lab 12 th Floor, Building 4 Tel: (02) 218-6527 1 Chapter

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

Integrated Circuits -- Timing Behavior of Gates

Integrated Circuits -- Timing Behavior of Gates Integrated Circuits -- Timing ehavior of Gates Page 1 Gates Have Non-Linear Input/Output ehavior V cc V out 0V V in V cc Plotting Vout vs. Vin shows non-linear voltage behavior Page 2 Gates lso Don t React

More information

Digital Logic Design ELCT 201

Digital Logic Design ELCT 201 Faculty of Information Engineering and Technology Dr. Haitham Omran and Dr. Wassim Alexan Digital Logic Design ELCT 201 Winter 2017 Midterm Exam Second Chance Please tick the box of your major: IET MET

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS

UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS STRUCTURE 2. Objectives 2. Introduction 2.2 Simplification of Boolean Expressions 2.2. Sum of Products 2.2.2 Product of Sums 2.2.3 Canonical

More information

Digital Logic. Software. Digital Logic. Boolean value (bit): 0 or 1. Transistors (more in lab) 1/26/16. Program, Application. Programming Language

Digital Logic. Software. Digital Logic. Boolean value (bit): 0 or 1. Transistors (more in lab) 1/26/16. Program, Application. Programming Language /26/6 S 24, Fall 24 S 24, Fall 24 Program, pplication Digital Logic Software Programming Language ompiler/interpreter Operating System Instruction Set rchitecture Gateway to computer science Hardware Microarchitecture

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018 ECE 172 Digital Systems Chapter 2 Digital Hardware Herbert G. Mayer, PSU Status 6/30/2018 1 Syllabus l Term Sharing l Standard Forms l Hazards l Decoders l PLA vs. PAL l PROM l Bibliography 2 Product Term

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

Combinational Logic Design CH002

Combinational Logic Design CH002 Combinational Logic Design CH002 Figure 2.1 Circuit as a black box with inputs, outputs, and specifications Figure 2.2 Elements and nodes Figure 2.3 Combinational logic circuit Figure 2.4 Two OR implementations

More information

Satish Chandra, Assistant Professor, P P N College, Kanpur 1

Satish Chandra, Assistant Professor, P P N College, Kanpur 1 8/7/4 LOGIC GTES CE NPN Transistor Circuit COMINTIONL LOGIC Satish Chandra ssistant Professor Department of Physics P PN College, Kanpur www.satish4.weebly.com circuit with an output signal that is logical

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

3.1 There are three basic logic functions from which all circuits can be designed: NOT (invert), OR, and

3.1 There are three basic logic functions from which all circuits can be designed: NOT (invert), OR, and EE 2449 Experiment 3 Jack Levine and Nancy Warter-Perez, Revised 6/12/17 CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 3

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic Regular logic Programmable Logic rrays Multiplexers/ecoders ROMs Field Programmable Gate rrays Xilinx Vertex Random Logic Full ustom esign S 5 - Fall 25 Lec. #3: Programmable Logic -

More information

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations.

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations. Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 5 Lecture Title:

More information

EE 330 Lecture 5. Other Logic Styles Improved Device Models Stick Diagrams

EE 330 Lecture 5. Other Logic Styles Improved Device Models Stick Diagrams EE 330 Lecture 5 Other Logic Styles Improved evice Models Stick iagrams Review from Last Time MOS Transistor Qualitative iscussion of n-channel Operation ulk Source Gate rain rain Gate n-channel MOSFET

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Logic Circuit Design

Logic Circuit Design Logic Circuit Design we have studied Truth Tables Logic gates Logic algebra K-maps 1 All these are tools Tools Truth Tables Logic gates Logic algebra K-maps 2 All these are tools Tools Truth Tables Logic

More information

Encoders. Lecture 23 5

Encoders. Lecture 23 5 -A decoder with enable input can function as a demultiplexer a circuit that receives information from a single line and directs it to one of 2 n possible output lines. The selection of a specific output

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic! Regular logic " Programmable Logic rrays " Multiplexers/ecoders " ROMs! Field Programmable Gate rrays " Xilinx Vertex Random Logic Full ustom esign S 5 - Spring 27 Lec. #3: Programmable

More information

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1 Chapter 3 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar

More information

Combinational Logic. Combinational Logic Design Process, Three State Buffers, Decoders, Multiplexers, Encoders, Demultiplexers, Other Considerations

Combinational Logic. Combinational Logic Design Process, Three State Buffers, Decoders, Multiplexers, Encoders, Demultiplexers, Other Considerations Combinational Logic Combinational Logic Design Process, Three State Buffers, Decoders, Multiplexers, Encoders, Demultiplexers, Other Considerations Copyright (c) 2012 Sean Key Combinational Logic Design

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Gates and and Circuits

Gates and and Circuits Chapter 4 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 07 October 31, 2011 / November 07, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative

More information

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates Digital Systems Principles and Applications TWELFTH EDITION CHAPTER 3 Describing Logic Circuits Part -2 J. Bernardini 3-3 OR Operation With OR Gates An OR gate is a circuit with two or more inputs, whose

More information

VLSI Design. Static CMOS Logic

VLSI Design. Static CMOS Logic VLSI esign Static MOS Logic [dapted from Rabaey s igital Integrated ircuits, 2002, J. Rabaey et al.] EE4121 Static MOS Logic.1 ZLM Review: MOS Process at a Glance efine active areas Etch and fill trenches

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

Formal Foundation of Digital Design

Formal Foundation of Digital Design Chapter 2: Switching Algebra and Logic Circuits 78 22 Digital Logic Design @ Department of Computer Engineering KKU. Formal Foundation of Digital Design In 854 George Boole published An investigation into

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Lecture 2: Digital Logic Basis

Lecture 2: Digital Logic Basis Lecture 2: Digital Logic Basis Xufeng Kou School of Information Science and Technology ShanghaiTech University 1 Outline Truth Table Basic Logic Operation and Gates Logic Circuits NOR Gates and NAND Gates

More information

Digital Circuits Introduction

Digital Circuits Introduction Lecture #6 OUTLINE Logic inary representations Combinatorial logic circuits Chap 7-7.5 Reading EE4 Summer 25: Lecture 6 Instructor: Octavian lorescu Digital Circuits Introduction nalog: signal amplitude

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

Logic diagram: a graphical representation of a circuit

Logic diagram: a graphical representation of a circuit LOGIC AND GATES Introduction to Logic (1) Logic diagram: a graphical representation of a circuit Each type of gate is represented by a specific graphical symbol Truth table: defines the function of a gate

More information

(a) (b) (c) (d) (e) (a) (b) (c) (d) (e)

(a) (b) (c) (d) (e) (a) (b) (c) (d) (e) Exercises 97 Exercises Exercise 2. Write a oolean equation in sum-of-products canonical form for each of the truth tables in Figure 2.8. (d) (e) C C C D Figure 2.8 Truth tables for Exercises 2. and 2.3

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers 1 General Table Lookup Synthesis A B 00

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Function Table of an Odd-Parity Generator Circuit

Function Table of an Odd-Parity Generator Circuit Implementation of an Odd-Parity Generator Circuit The first step in implementing any circuit is to represent its operation in terms of a Truth or Function table. The function table for an 8-bit data as

More information

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C Class : S.E.Comp Matoshri College of Engineering and Research Center Nasik Department of Computer Engineering Digital Elecronics and Logic Design (DELD) UNIT - III Subject : DELD Sr. No. Question Option

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

Digital Logic and Design (Course Code: EE222) Lecture 14: Combinational Contd.. Decoders/Encoders

Digital Logic and Design (Course Code: EE222) Lecture 14: Combinational Contd.. Decoders/Encoders Indian Institute of Technology Jodhpur, Year 28 29 Digital Logic and Design (Course Code: EE222) Lecture 4: Combinational Contd.. Decoders/Encoders Course Instructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Exercise 1: AND/NAND Logic Functions

Exercise 1: AND/NAND Logic Functions Exercise 1: AND/NAND Logic Functions EXERCISE OBJECTIVE When you have completed this exercise, you will be able to determine the operation of an AND and a NAND logic gate. You will verify your results

More information

DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER

DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS SUBJECT CODE: EC2203 FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER CLASS: II YEAR ECE UNIT-I MINIMISATION

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware HPTER II-6 MO MO WITHE WITH NETWORK -WITHE IN ERIE -WITHE IN PRLLEL -INPUT ELETOR 883: dvanced Digital Design for Embedded Hardware Lecture : MO Transistors and Layout The idea is to use the series and

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 COE/EE2DI4 Midterm Test #1 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 Instructions: This examination paper includes 10 pages and 20 multiple-choice questions starting

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook)

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook) ECE 410: VLSI Design Course Lecture Notes (Uyemura tetbook) Professor Fathi Salem Michigan State University We will be updating the notes this Semester. Lecture Notes Page 2.1 Electronics Revolution Age

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

By: Dr. Ahmed ElShafee

By: Dr. Ahmed ElShafee Lecture (03) COMBINATIONAL CIRCUIT DESIGN AND SIMULATION USING GATES By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 2018, CSE303 Logic design II Design of Circuits with Limited Gate Fan In In

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Chapter 3 Combinational Logic Design

Chapter 3 Combinational Logic Design Logic and Computer Design Fundamentals Chapter 3 Combinational Logic Design Part 2 Combinational Logic Overview Part -Implementation Technology and Logic Design Design Concepts Fundamental concepts of

More information

TABLE 3-2 Truth Table for Code Converter Example

TABLE 3-2 Truth Table for Code Converter Example 997 by Prentice-Hall, Inc. Mano & Kime Upper Saddle River, New Jersey 7458 T-28 TABLE 3-2 Truth Table for Code Converter Example Decimal Digit Input BCD Output Excess-3 A B C D W Y Z 2 3 4 5 6 7 8 9 Truth

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE38 Digital Logic Optimized Implementation of Logic Functions: Karnaugh Maps and Minimum Sum-of-Product Forms Dr. D. J. Jackson Lecture 7- Karnaugh map The key to finding a minimum cost SOP or POS form

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

Chapter 4 Logic Functions and Gates

Chapter 4 Logic Functions and Gates Chapter 4 Logic Functions and Gates CHPTER OJECTIVES Upon successful completion of this chapter, you will be able to: Describe the basic logic functions: ND, OR, and NOT. Draw simple switch circuits to

More information

EE 330 Lecture 5. Other Logic Styles. Improved Device Models. complex logic gates pass transistor logic

EE 330 Lecture 5. Other Logic Styles. Improved Device Models. complex logic gates pass transistor logic EE 330 Lecture 5 Other Logic Styles complex logic gates pass transistor logic Improved evice Models Review from Last Time MOS Transistor Qualitative iscussion of n-channel Operation Source Gate rain rain

More information

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic Computer Hardware ngineering (I2) Computer Organization and Components (I5) Fall 27 Lecture 7: Combinational Logic Optional for I2, compulsory for I5 Fredrik Lundevall lides by David roman and Fredrik

More information

Lecture #1. Course Overview

Lecture #1. Course Overview Lecture #1 OUTLINE Course overview Introduction: integrated circuits Analog vs. digital signals Lecture 1, Slide 1 Course Overview EECS 40: One of five EECS core courses (with 20, 61A, 61B, and 61C) introduces

More information

Course Overview. Course Overview

Course Overview. Course Overview Course Overview Where does this course fit into the Electrical Engineering curriculum? Page 5 Course Overview Where does this course fit into the Computer Engineering curriculum? Page 6 3 Course Content

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Combinational Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design 2 Combinational logic A combinational circuit

More information

Combinational Logic. Prof. MacDonald

Combinational Logic. Prof. MacDonald Combinational Logic Prof. MacDonald 2 Input NOR depletion NFET load l Pull Down Network can pull OUT down if either or both inputs are above Vih consequently the NOR function. l Depletion NFET could really

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Use the fixed 5 volt supplies for your power in digital circuits, rather than the variable outputs.

Use the fixed 5 volt supplies for your power in digital circuits, rather than the variable outputs. Physics 33 Lab 1 Intro to Digital Logic We ll be introducing you to digital logic this quarter. Some things will be easier for you than analog, some things more difficult. Digital is an all together different

More information

Unit 3. Logic Design

Unit 3. Logic Design EE 2: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Unit 3 Chapter Combinational 3 Combinational Logic Logic Design - Introduction to Analysis & Design

More information