EDA for IC Implementation, Circuit Design, and Process Technology

Size: px
Start display at page:

Download "EDA for IC Implementation, Circuit Design, and Process Technology"

Transcription

1 EDA for IC Implementation, Circuit Design, and Process Technology Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A. Grant Martin Tensilica Inc. Santa Clara, California, U.S.A. QfP) Taylor &. Francis >V J Taylor & Francis Group Boca Raton London New York A CRC title, part of the Taylor & Francis imprint, a member of the Taylor & Francis Group, the academic division of T&F Informa plc.

2 Contents SECTION I RTL to GDS-II, or Synthesis, Place, and Route 1 Design Flows Leon Stok, David Hathaway, Kurt Keutzer, and David Chinnery Introduction Invention Implementation Integration Future Scaling Challenges Condusion Logic Synthesis Sunil P. Khatri and Narendra V. Shenoy Introduction Behavioral and Register Transfer-Level Synthesis Two-Level Minimization Multilevel Logic Minimization Enabling Technologies for Logic Synthesis Sequential Optimization Physical Synthesis Multivalued Logic Synthesis Summary Power Analysis and Optimization from Circuit to Register-Transfer Levels Jose Monteiro, Rakesh Patel, and Vivek Tiwari Introduction Power Analysis Circuit-Level Power Optimization Logic Synthesis for Low Power Conclusion 3-15

3 4 Equivalence Checking Andreas Kuehlmann and Fabio Somenzi Introduction 4_1 4.2 Equivalence Checking Problem Boolean Reasoning Combinational Equivalence Checking Sequential Equivalence Checking 4_ Summary 4_17 5 Digital Layout Placement Andrew B. Kahng and SheriefReda Introduction: Placement Problem and Contexts Global Placement 5,4 5.3 Detailed Placement and Legalizers 5_ Placement Trends _ 5.I7 5.5 Academic and Industrial Placers Conclusions 5_20 6 Static Timing Analysis Sachin S. Sapatnekar Introduction g_l 6.2 Representation of Combinational and Sequential Circuits Gate Delay Models Timing Analysis for Combinational Circuits Timing Analysis for Sequential Circuits Clocking Disciplines: Edge-Triggered Circuits Clocking and Clock-Skew Optimization Statistical Static Timing Analysis Conclusion Structured Digital Design Fan Mo and Robert K. Brayton Introduction 7_1 7.2 Datapaths 7_2 7.3 Programmable Logic Arrays Memory and Register Files 7_ Structured Chip Design Summary 7_2i 8 Routing Louis Scheffer Introduction Types of Routers 8_2 8.3 A Brief History of Routing Common Routing Algorithms Additional Router Considerations Exploring Challenges of Libraries for Electronic Design James Hogan and Scott T. Becker Introduction What Does It Mean to Design Libraries? 9-1

4 9.3 How Did We Get Here, Anyway? Commercial Efforts What Makes the Effort Easier? The Enemies of Progress Environments That Drive Progress Libraries and What They Contain Summary Design Closure Peter J. Osler and John M. Cohn Introduction Current Practice The Future of Design Closure Conclusion Tools for Chip-Package Codesign Paul D. Franzon Introduction Drivers for Chip-Package Codesign Digital System Codesign Issues Mixed-Signal Codesign Issues I/O Buffer Interface Standard and Other Macromodels Conclusions Design Databases Mark Bales Introduction History Modern Database Examples Fundamental Features Advanced Features Technology Data Library Data and Structures: Design-Data Management Interoperability Models FPGA Synthesis and Physical Design Mike Hutton and Vaughn Betz Introduction System-Level Tools Logic Synthesis Physical Design Looking Forward SECTION II Analog and Mixed-Signal Design 14 Simulation of Analog and RF Circuits and Systems Jaijeet Roychowdhury and Alan Mantooth Introduction Differential-Algebraic Equations for Circuits via Modified Nodal Analysis 14-2

5 14.3 Device Models Basic Circuit Simulation: DC Analysis Steady-State Analysis Multitime Analysis Noise in RF Design Conclusions Simulation and Modeling for Analog and Mixed-Signal Integrated Circuits Georges G.E. Gielen and Joel R. Phillips Introduction Top-Down Mixed-Signal Design Methodology Mixed-Signal and Behavioral Simulation Analog Behavioral and Power Model Generation Techniques Symbolic Analysis of Analog Circuits Conclusions Layout Tools for Analog Integrated Circuits and Mixed-Signal Systems-on-Chip: A Survey Rob A. Rutenbar and John M. Cohn Introduction Analog Layout Problems and Approaches Analog Cell Layout Strategies Mixed-Signal System Layout Field-Programmable Analog Arrays Conclusions SECTION III Physical Verification 17 Design Rule Checking Robert Todd, Laurenze Grodd, and Katherine Fetty Introduction Geometrie Algorithms for Physical Verification Hierarchical Data Structures Time Complexity of Hierarchical Analysis Connectivity Models Parallel Computing Future Roles for Verification Resolution Enhancement Techniques and Mask Data Preparation Franklin M. Schellenberg Introduction Lithographie Effects RET for Smaller Jfcj Software Implementations of RET Solutions Mask Data Preparation Summary Design for Manufacturability in the Nanometer Era Nicola Dragone, Carlo Guardiani, andandrzejj. Strojwas Introduction Taxonomy of Yield Loss Mechanisms 19-3

6 19.3 Logic Design for Manufacturing Parametric Design for Manufacturing Methodologies Design for Manufacturing Integration in the Design Flow: Yield-Aware Physical Synthesis Summary Design and Analysis of Power Supply Networks David Blaauw, Sanjay Pant, Rajat Chaudhry, and Rajendran Panda Introduction Voltage-Drop Analysis Modes Linear System Solution Techniques Models for Power Distribution Networks Conclusions Noise Considerations in Digital ICs Vinod Kariat Introduction Why Has Noise Become a Problem for Digital Chips? Noise Effects in Digital Designs Static Noise Analysis Electrical Analysis Fixing Noise Problems Summary and Conclusions Layout Extraction William Kao, Chi-Yuan Lo, Mark Basel, Raminderpal Singh, Peter Spink, and Louis Scheffer Introduction Early History Problem Analysis System Capabilities Converting Drawn Geometries to Actual Geometries Designed Device Extraction Connectivity Extraction Parasitic Resistance Extraction Capacitance Extraction Techniques Inductance Extraction Techniques Network Reduction Process Variation Conclusions and Future Study Mixed-Signal Noise Coupling in System-on-Chip Design: Modeling, Analysis, and Validation Nishath Verghese and Makoto Nagata Introduction Mechanisms and Effects of Mixed-Signal Noise Coupling Modeling of Mixed-Signal Noise Coupling Mixed-Signal Noise Measurement and Validation Application to Placement and Power Distribution Synthesis Summary 23-21

7 SECTION IV Technology CAD 24 Process Simulation Mark D. Johnson Introduction Process Simulation Methods Ion Implantation Diffusion Oxidation Etch and Deposition Lithography and Photoresist Modeling Silicidation Mechanics Modeling Putting It All Together Conclusions Device Modeling From Physics to Electrical Parameter Extraction Robert W. Button, Chang-Hoon Choi, and Edwin C. Kan Introduction MOS Technology and Intrinsic Device Modeling Parasitic Junction and Inhomogeneous Substrate Effects Device Technology Alternatives Conclusions High-Accuracy Parasitic Extraction Mattan Kamon and Ralph Iverson Introduction 26-2 Part I: Extraction via Fast Integral Equation Methods Introduction Forms of MaxwelPs Equations Fast Field Solvers: Capacitance Solution Fast Inductance Solution Distributed RLC and Füll Wave Conclusions Part II: Statistical Capacitance Extraction Introduction Theory Characteristics Summary index Index-1

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

DesignCon Impedance Matching Techniques for VLSI Packaging. Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University

DesignCon Impedance Matching Techniques for VLSI Packaging. Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University DesignCon 2006 Impedance Matching Techniques for VLSI Packaging Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University Kanupriva Gulati, Texas A&M University Sunil P. Khatri, Texas

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

José Monteiro, Rakesh Patel, Vivek Tiwari Published online on: 26 Apr 2016

José Monteiro, Rakesh Patel, Vivek Tiwari Published online on: 26 Apr 2016 This article was downloaded by: 10.3.98.93 On: 28 Mar 2019 Access details: subscription number Publisher: CRC Press Informa Ltd Registered in England and Wales Registered Number: 1072954 Registered office:

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER

SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SPICE FOR POWER ELECTRONICS AND ELECTRIC POWER SECOND EDITION MUHAMMAD H. RASHID University of West Florida Pensacola, Florida, U.S.A. HASAN M. RASHID University of Florida Gainesville, Florida, U.S.A.

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi. Introduction Reading: Chapter 1 Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Why study logic design? Obvious reasons

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

DIGITAL SIGNAL PROCESSING LABORATORY

DIGITAL SIGNAL PROCESSING LABORATORY DIGITAL SIGNAL PROCESSING LABORATORY SECOND EDITION В. Preetham Kumar CRC Press Taylor & Francis Group Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Croup, an informa business

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten EM Analysis of RFIC Inductors and Transformers Dr.-Ing. Volker Mühlhaus, Witten Do you love inductors? Image Kansas State University Inductors from the design kit tend to have the wrong value, optimized

More information

A Lithography-friendly Structured ASIC Design Approach

A Lithography-friendly Structured ASIC Design Approach A Lithography-friendly Structured ASIC Design Approach Salman Gopalani salman_at_neo.tamu.edu Sunil P Khatri sunilkhatri_at_tamu.edu ajesh Garg rajeshgarg_at_tamu.edu Mosong Cheng mcheng_at_ece.tamu.edu

More information

Introduction to Electronic Design Automation

Introduction to Electronic Design Automation Introduction to Electronic Design Automation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 2014 1 Design Automation? 2 Course Info (1/4) Instructor Jie-Hong

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

Design of digital cmos circuits by Using Standard Cell Library for high performance

Design of digital cmos circuits by Using Standard Cell Library for high performance ISSN: 2278 1323 All Rights Reserved 2014 IJARCET 3564 International Journal of Advanced Research in Computer Engineering & (IJARCET) Design of digital cmos circuits by Using Standard Cell Library for high

More information

The Physical Design of Long Time Delay-chip

The Physical Design of Long Time Delay-chip 2011 International Conference on Computer Science and Information Technology (ICCSIT 2011) IPCSIT vol. 51 (2012) (2012) IACSIT Press, Singapore DOI: 10.7763/IPCSIT.2012.V51.137 The Physical Design of Long

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

CS/EE 181a 2010/11 Lecture 1

CS/EE 181a 2010/11 Lecture 1 CS/EE 181a 2010/11 Lecture 1 CS/EE 181 is about designing digital CMOS systems. Functional Specification Approximate domain of CS181 Circuit Specification Simulation Architectural Specification Abstract

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Digital Integrated Circuits 1: Fundamentals

Digital Integrated Circuits 1: Fundamentals Digital Integrated Circuits 1: Fundamentals Atsushi Takahashi Department of Information and Communications Engineering School of Engineering Tokyo Institute of Technology 1 VLSI and Computer System VLSI

More information

Sliding Mode Control. Switching Power Converters

Sliding Mode Control. Switching Power Converters Sliding Mode Control of Switching Power Converters Techniques and Implementation Siew-Chong Tan Yuk-Ming Lai Chi Kong Tse Lap) CRC Press \V / Taylor & Francis Group Boca Raton London New York CRC Press

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

M a r c h 7, Contact Hours = per week

M a r c h 7, Contact Hours = per week FE1012 PHYSICS A NEW [Academic Units: 4.0 ; Semester 1 ; Pre-requisite: Nil ; Contact Hours: Lec: 39 hr ; Tut: 12 hrs] Vectors. Kinematics. Forces and torques. Newton s laws of motion. Impulse and momentum.

More information

SPICE for Power Electronics and Electric Power

SPICE for Power Electronics and Electric Power SPICE for Power Electronics and Electric Power Third Edition Muhammad H. Rashid Life Fellow IEEE /^0\ \Cf*' CRC Press I Taylor & Francis eis Crou Group Boca Raton London New York CRC Press is an imprint

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

IN the past few years, superconductor-based logic families

IN the past few years, superconductor-based logic families 1 Synthesis Flow for Cell-Based Adiabatic Quantum-Flux-Parametron Structural Circuit Generation with HDL Backend Verification Qiuyun Xu, Christopher L. Ayala, Member, IEEE, Naoki Takeuchi, Member, IEEE,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Design of Analog CMOS Integrated Circuits

Design of Analog CMOS Integrated Circuits Design of Analog CMOS Integrated Circuits Behzad Razavi Professor of Electrical Engineering University of California, Los Angeles H Boston Burr Ridge, IL Dubuque, IA Madison, WI New York San Francisco

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

Giovanni Betti Beneventi

Giovanni Betti Beneventi Technology Computer Aided Design (TCAD) Laboratory Lecture 1, Introduction Giovanni Betti Beneventi [Source: Synopsys] E-mail: gbbeneventi@arces.unibo.it ; giobettibeneventi@gmail.com Office: School of

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Dr. Leon Stok Vice President, Electronic Design Automation IBM Systems and Technology Group Hopewell Junction, NY

Dr. Leon Stok Vice President, Electronic Design Automation IBM Systems and Technology Group Hopewell Junction, NY Foreword Physical design of integrated circuits remains one of the most interesting and challenging arenas in the field of Electronic Design Automation. The ability to integrate more and more devices on

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Post Graduate Diploma in IC Layout Design. Course No. Title Credits Semester I (Six Months) T- Theory, P- Practicals

Post Graduate Diploma in IC Layout Design. Course No. Title Credits Semester I (Six Months) T- Theory, P- Practicals Post Graduate Diploma in IC Layout Design Eligibility: BE/ B.Tech/ MSc. in Electronics/ Electronics & Telecommunication/ Instrumentation/ Electrical/ Computers/ Information Technology/ MCA/ MCS/ AMIE /AMIETE

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information