Dr. Leon Stok Vice President, Electronic Design Automation IBM Systems and Technology Group Hopewell Junction, NY

Size: px
Start display at page:

Download "Dr. Leon Stok Vice President, Electronic Design Automation IBM Systems and Technology Group Hopewell Junction, NY"

Transcription

1 Foreword Physical design of integrated circuits remains one of the most interesting and challenging arenas in the field of Electronic Design Automation. The ability to integrate more and more devices on our silicon chips requires the algorithms to continuously scale up. Nowadays we can integrate 2e9 transistors on a single 45nm-technology chip. This number will continue to scale for the next couple of technology generations, requiring more transistors to be automatically placed on a chip and connected together. In addition, more and more of the delay is contributed by the wires that interconnect the devices on the chip. This has a profound effect on how physical design flows need to be put together. In the 1990s, it was safe to assume that timing goals of the design could be reached once the devices were placed well on the chip. Today, one does not know whether the timing constraints can be satisfied until the final routing has completed. As far back as 10 or 15 years ago, people believed that most physical design problems had been solved. But, the continued increase in the number of transistors on the chip, as well as the increased coupling between the physical, timing and logic domains warrant a fresh look at the basic algorithmic foundations of chip implementation. That is exactly what this book provides. It covers the basic algorithms underlying all physical design steps and also shows how they are applied to current instances of the design problems. For example, Chapter 7 provides a great deal of information on special types of routing for specific design situations. Several other books provide in-depth descriptions of core physical design algorithms and the underlying mathematics, but this book goes a step further. The authors very much realize that the era of individual point algorithms with single objectives is over. Throughout the book they emphasize the multi-objective nature of modern design problems and they bring all the pieces of a physical design flow together in Chapter 8. A complete flow chart, from design partitioning and floorplanning all the way to electrical rule checking, describes all phases of the modern chip implementation flow. Each step is described in the context of the overall flow with references to the preceding chapters for the details. This book will be appreciated by students and professionals alike. It starts from the basics and provides sufficient background material to get the reader up to speed on the real issues. Each of the chapters by itself provides sufficient introduction and depth to be very valuable. This is especially important in the present era, where experts in one area must understand the effects of their algorithms on the remainder of the design flow. An expert in routing will derive great benefit from reading the chapters on planning and placement. An expert in Design For Manufacturability (DFM) who seeks a better understanding of routing algorithms, and of how these algorithms can be affected by choices made in setting DFM requirements, will benefit tremendously from the chapters on global and detailed routing. i

2 The book is completed by a detailed set of solutions to the exercises that accompany each chapter. The exercises force the student to truly understand the basic physical design algorithms and apply them to small but insightful problem instances. This book will serve the EDA and design community well. It will be a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools. Dr. Leon Stok Vice President, Electronic Design Automation IBM Systems and Technology Group Hopewell Junction, NY ii

3 Preface VLSI physical design of integrated circuits underwent explosive development in the 1980s and 1990s. Many basic techniques were suggested by researchers and implemented in commercial tools, but only described in brief conference publications geared for experts in the field. In the 2000s, academic and industry researchers focused on comparative evaluation of basic techniques, their extension to large-scale optimization, and the assembly of point optimizations into multi-objective design flows. Our book covers these aspects of physical design in a consistent way, starting with basic concepts in Chapter 1 and gradually increasing the depth to reach advanced concepts, such as physical synthesis. Readers seeking additional details, will find a number of references discussed in each chapter, including specialized monographs and recent conference publications. Chapter 2 covers netlist partitioning. It first discusses typical problem formulations and proceeds to classic algorithms for balanced graph and hypergraph partitioning. The last section covers an important application system partitioning among multiple FPGAs, used in the context of high-speed emulation in functional validation. Chapter 3 is dedicated to chip planning, which includes floorplanning, powerground planning and I/O assignment. A broad range of topics and techniques are covered, ranging from graph-theoretical aspects of block-packing to optimization by simulated annealing and package-aware I/O planning. Chapter 4 addresses VLSI placement and covers a number of practical problem formulations. It distinguishes between global and detailed placement, and first covers several algorithmic frameworks traditionally used for global placement. Detailed placement algorithms are covered in a separate section. Current state of the art in placement is reviewed, with suggestions to readers who might want to implement their own software tools for large-scale placement. Chapters 5 and 6 discuss global and detailed routing, which have received significant attention in research literature due to their interaction with manufacturability and chip-yield optimizations. Topics covered include representing layout with graph models and performing routing, for single and multiple nets, in these models. Stateof-the-art global routers are discussed, as well as yield optimizations performed in detailed routing to address specific types of manufacturing faults. Chapter 7 deals with several specialized types of routing which do not conform with the global-detailed paradigm followed by Chapters 5 and 6. These include non- Manhattan area routing, commonly used in PCBs, and clock-tree routing required for every synchronous digital circuit. In addition to algorithmic aspects, we explore the impact of process variability on clock-tree routing and means of decreasing this impact. iii

4 Chapter 8 focuses on timing closure, and its perspective is particularly unique. It offers a comprehensive coverage of timing analysis and relevant optimizations in placement, routing and netlist restructuring. Section 8.6 assembles all these techniques, along with those covered in earlier chapters, into an extensive design flow, illustrated in detail with a flow chart and discussed step-by-step with several figures and many references. This book does not assume prior exposure to physical design or other areas of EDA. It introduces the reader to the EDA industry and basic EDA concepts, covers key graph concepts and algorithm analysis, carefully defines terms and specifies basic algorithms with pseudocode. Many illustrations are given throughout the book, and every chapter includes a set of exercises, solutions to which are given in one of the appendices. Unlike most other sources on physical design, we made an effort to avoid impractical and unnecessarily complicated algorithms. In many cases we offer comparisons between several leading algorithmic techniques and refer the reader to publications with additional empirical results. Some chapters are based on material in the book Layoutsynthese elektronischer Schaltungen Grundlegende Algorithmen für die Entwurfsautomatisierung, which was published by Springer in We are grateful to our colleagues and students who proofread earlier versions of this book and suggested a number of improvements (in alphabetical order): Matthew Guthaus, Kwangok Jeong, Johann Knechtel, Andreas Krinke, Nancy MacDonald, Jarrod Roy, Yen-Kuan Wu and Hailong Yao. Images for global placement and clock routing in Chapter 8 were provided by Myung-Chul Kim and Dong-Jin Lee. Cell libraries in Appendix B were provided by Bob Bullock, Dan Clein and Bill Lye from PMC Sierra; the layout and schematics in Appendix B were generated by Matthias Thiele. The work on this book was partially supported by the National Science Foundation (NSF) through the CAREER award as well as by Texas Instruments and Sun Microsystems. We hope that you will find the book interesting to read and useful in your professional endeavors. Sincerely, Andrew, Jens, Igor and Jin iv

5 Table of Contents 1 Introduction Electronic Design Automation (EDA) VLSI Design Flow VLSI Design Styles Layout Layers and Design Rules Physical Design Optimizations Algorithms and Complexity Graph Theory Terminology Common EDA Terminology Chapter 1 References Netlist and System Partitioning Introduction Terminology Optimization Goals Partitioning Algorithms Kernighan-Lin (KL) Algorithm Extensions of the Kernighan-Lin Algorithm Fiduccia-Mattheyses (FM) Algorithm A Framework for Multilevel Partitioning Clustering Multilevel Partitioning System Partitioning onto Multiple FPGAs Chapter 2 Exercises Chapter 2 References Chip Planning Introduction to Floorplanning Optimization Goals in Floorplanning Terminology Floorplan Representations Floorplan to a Constraint-Graph Pair Floorplan to a Sequence Pair Sequence Pair to a Floorplan Floorplanning Algorithms Floorplan Sizing Cluster Growth Simulated Annealing Integrated Floorplanning Algorithms Pin Assignment Power and Ground Routing Design of a Power-Ground Distribution Network Planar Routing Mesh Routing Chapter 3 Exercises Chapter 3 References v

6 4 Global and Detailed Placement Introduction Optimization Objectives Global Placement Min-Cut Placement Analytic Placement Simulated Annealing Modern Placement Algorithms Legalization and Detailed Placement Chapter 4 Exercises Chapter 4 References Global Routing Introduction Terminology and Definitions Optimization Goals Representations of Routing Regions The Global Routing Flow Single-Net Routing Rectilinear Routing Global Routing in a Connectivity Graph Finding Shortest Paths with Dijkstra s Algorithm Finding Shortest Paths with A* Search Full-Netlist Routing Routing by Integer Linear Programming Rip-Up and Reroute (RRR) Modern Global Routing Pattern Routing Negotiated Congestion Routing Chapter 5 Exercises Chapter 5 References Detailed Routing Terminology Horizontal and Vertical Constraint Graphs Horizontal Constraint Graphs Vertical Constraint Graphs Channel Routing Algorithms Left-Edge Algorithm Dogleg Routing Switchbox Routing Terminology Switchbox Routing Algorithms Over-the-Cell Routing Algorithms OTC Routing Methodology OTC Routing Algorithms Modern Challenges in Detailed Routing Chapter 6 Exercises Chapter 6 References vi

7 7 Specialized Routing Introduction to Area Routing Net Ordering in Area Routing Non-Manhattan Routing Octilinear Steiner Trees Octilinear Maze Search Basic Concepts in Clock Networks Terminology Problem Formulations for Clock-Tree Routing Modern Clock Tree Synthesis Constructing Trees with Zero Global Skew Clock Tree Buffering in the Presence of Variation Chapter 7 Exercises Chapter 7 References Timing Closure Introduction Timing Analysis and Performance Constraints Static Timing Analysis Delay Budgeting with the Zero-Slack Algorithm Timing-Driven Placement Net-Based Techniques Embedding STA into Linear Programs for Placement Timing-Driven Routing The Bounded-Radius, Bounded-Cost Algorithm Prim-Dijkstra Tradeoff Minimization of Source-to-Sink Delay Physical Synthesis Gate Sizing Buffering Netlist Restructuring Performance-Driven Design Flow Conclusions Chapter 8 Exercises Chapter 8 References A Solutions to Chapter Exercises Chapter 2: Netlist and System Partitioning Chapter 3: Chip Planning Chapter 4: Global and Detailed Placement Chapter 5: Global Routing Chapter 6: Detailed Routing Chapter 7: Specialized Routing Chapter 8: Timing Closure B Example CMOS Cell Layouts vii

8

9 Chapter 1 Introduction 1

10 1 1 Introduction Electronic Design Automation (EDA) VLSI Design Flow VLSI Design Styles Layout Layers and Design Rules Physical Design Optimizations Algorithms and Complexity Graph Theory Terminology Common EDA Terminology Chapter 1 References... 30

11 3 1 Introduction The design and optimization of integrated circuits (ICs) are essential to the production of new semiconductor chips. Modern chip design has become so complex that it is largely performed by specialized software, which is frequently updated to reflect improvements in semiconductor technologies and increasing design complexities. A user of this software needs a high-level understanding of the implemented algorithms. On the other hand, a developer of this software must have a strong computerscience background, including a keen understanding of how various algorithms operate and interact, and what their performance bottlenecks are. This book introduces and evaluates algorithms used during physical design to produce a geometric chip layout from an abstract circuit design. Rather than list every relevant technique, however, it presents the essential and fundamental algorithms used within each physical design stage. Partitioning (Chap. 2) and chip planning (Chap. 3) of design functionality during the initial stages of physical design Geometric placement (Chap. 4) and routing (Chaps. 5-6) of circuit components Specialized routing and clock tree synthesis for synchronous circuits (Chap. 7) Meeting specific technology and performance requirements, i.e., timing closure, such that the final fabricated layout satisfies system objectives (Chap. 8) Other design steps, such as circuit design, logic synthesis, transistor-level layout and verification, are not discussed in detail, but are covered in such references as [1.1]. This book emphasizes digital circuit design for very large-scale integration (VLSI); the degree of automation for digital circuits is significantly higher than for analog circuits. In particular, the focus is on algorithms for digital ICs, such as system partitioning for field-programmable gate arrays (FPGAs) or clock network synthesis for application-specific integrated circuits (ASICs). Similar design techniques can be applied to other implementation contexts such as multi-chip modules (MCMs) and printed circuit boards (PCBs). The following broad questions, of interest to both students and designers, are addressed in the upcoming chapters. How is functionally correct layout produced from a netlist? How does software for VLSI physical design work? How do we develop and improve software for VLSI physical design? More information about this book is at

12 4 1 Introduction Electronic Design Automation (EDA) The Electronic Design Automation (EDA) industry develops software to support engineers in the creation of new integrated-circuit (IC) designs. Due to the high complexity of modern designs, EDA touches almost every aspect of the IC design flow, from high-level system design to fabrication. EDA addresses designers needs at multiple levels of electronic system hierarchy, including integrated circuits (ICs), multi-chip modules (MCMs), and printed circuit boards (PCBs). Progress in semiconductor technology, based on Moore s Law (Fig. 1.1), has led to integrated circuits (1) comprised of hundreds of millions of transistors, (2) assembled into packages, each having multiple chips and thousands of pins, and (3) mounted onto high-density interconnect (HDI) circuit boards with dozens of wiring layers. This design process is highly complex and heavily depends on automated tools. That is, computer software is used to mostly automate design steps such as logic design, simulation, physical design, and verification. EDA was first used in the 1960s in the form of simple programs to automate placement of a very small number of blocks on a circuit board. Over the next few years, the advent of the integrated circuit created a need for software that could reduce the total number of gates. Current software tools must additionally consider electrical effects such as signal delays and capacitive coupling between adjacent wires. In the modern VLSI design flow, nearly all steps use software to automate optimizations. In the 1970s, semiconductor companies developed in-house EDA software, specialized programs to address their proprietary design styles. In the 1980s and 1990s, independent software vendors created new tools for more widespread use. This gave rise to an independent EDA industry, which now enjoys annual revenues of approximately five billion dollars and employs around twenty thousand people. Many EDA companies have headquarters in Santa Clara county, in the state of California. This area has been aptly dubbed the Silicon Valley. Several annual conferences showcase the progress of the EDA industry and academia. The most notable one is the Design Automation Conference (DAC), which holds an industry trade show as well as an academic symposium. The International Conference on Computer-Aided Design (ICCAD) places emphasis on academic research, with papers that relate to specialized algorithm development. PCB developers attend PCB Design Conference West in September. Overseas, Europe and Asia host the Design, Automation and Test in Europe (DATE) conference and the Asia and South Pacific Design Automation Conference (ASP-DAC), respectively. The world-wide engineering association Institute of Electrical and Electronic Engineers (IEEE) publishes the monthly IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), while the Association for Computing Machinery (ACM) publishes ACM Transactions on Design Automation of Electronic Systems (TODAES).

13 1.1 Electronic Design Automation (EDA) 5 Impact of EDA. According to Moore s Law (Fig. 1.1), the number of transistors on a chip is increasing at an exponential rate. Historically, this corresponds to an annual compounded increase of 58% in the number of transistors per chip. Fig. 1.1 Moore s Law and the original graph from Gordon Moore s article [1.10] predicting the increase in number of transistors. In 1965, Gordon Moore (Fairchild) stated that the number of transistors on an IC would double every year. Ten years later, he revised his statement, asserting that doubling would occur every 18 months. Since then, this rule has been famously known as Moore s Law. However, chip designs produced by prominent semiconductor companies suggest a different trend. The annual productivity, measured by the number of transistors, of designers, and (fixed-size) design teams has an annual compounded growth of only around 21% per year, leading to a design productivity gap [1.5]. Since the number of transistors is highly context-specific analog versus digital or memory versus logic this statistic, due to SEMATECH in the mid-1990s, refers to the design productivity for standardized transistors. Fig 1.2, reproduced from the International Technology Roadmap for Semiconductors (ITRS) [1.5], demonstrates that cost-feasible IC products require innovation in EDA technology. Given the availability of efficient design technologies to semiconductor design teams, the hardware design cost of a typical portable system-on-chip, e.g., a baseband processor chip for a cell phone, remains manageable at $15.7 million (2009 estimate). With associated software design costs, the overall chip design project cost is $45.3 million. Without the design technology innovations between 1993 and 2007, and the resulting design productivity improvements, the design cost of a chip would have been $1,800 million, well over a billion dollars ITRS (in 2009 Millions Cost of Chart Dollars) (in Millions of Dollars) Total HW Engineering Costs + EDA Tool Costs Total SW Engineering Costs + ESDA Tool Costs Fig. 1.2 Recent editions of the semiconductor technology roadmap project total hardware (HW) engineering costs + EDA tool costs (dark gray) and total software (SW) engineering costs + electronic system design automation (ESDA) tool costs (light gray). This shows the impact of EDA technologies on overall IC design productivity and hence IC design cost [1.5].

14 6 1 Introduction History of EDA. After tools for schematic entry of integrated circuits were developed, the first EDA tool, a placer that optimized the physical locations of devices on a circuit board, was created in the late 1960s. Shortly thereafter, programs were written to aid circuit layout and visualization. The first integrated-circuit computeraided design (CAD) systems addressed the physical design process and were written in the 1970s. During that era, most CAD tools were proprietary major companies such as IBM and AT&T Bell Laboratories relied on software tools designed for internal use only. However, beginning in the 1980s, independent software developers started to write tools that could serve the needs of multiple semiconductor product companies. The electronic design automation (EDA) market grew rapidly in the 1990s, as many design teams adopted commercial tools instead of developing their own in-house versions. The largest EDA software vendors today are, in alphabetical order, Cadence Design Systems, Mentor Graphics, and Synopsys. EDA tools have always been geared toward automating the entire design process and linking the design steps into a complete design flow. However, such integration is challenging, since some design steps need additional degrees of freedom, and scalability requires tackling some steps independently. On the other hand, the continued decrease of transistor and wire dimensions has blurred the boundaries and abstractions that separate successive design steps physical effects such as signal delays and coupling capacitances need to be accurately accounted for earlier in the design cycle. Thus, the design process is moving from a sequence of atomic (independent) steps toward a deeper level of integration. Tab. 1.1 summarizes a timeline of key developments in circuit and physical design. Tab. 1.1 Timeline of EDA progress with respect to circuit and physical design. Time Period Circuit and Physical Design Process Advancements Manual design only Layout editors, e.g., place and route tools, first developed for printed circuit boards More advanced tools for ICs and PCBs, with more sophisticated algorithms First performance-driven tools and parallel optimization algorithms for layout; better understanding of underlying theory (graph theory, solution complexity, etc.) First over-the-cell routing, first 3D and multilayer placement and routing techniques developed. Automated circuit synthesis and routability-oriented design become dominant. Start of parallelizing workloads. Emergence of physical synthesis now Design for Manufacturability (DFM), optical proximity correction (OPC), and other techniques emerge at the designmanufacturing interface. Increased reusability of blocks, including intellectual property (IP) blocks.

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

A Self-Contained Large-Scale FPAA Development Platform

A Self-Contained Large-Scale FPAA Development Platform A SelfContained LargeScale FPAA Development Platform Christopher M. Twigg, Paul E. Hasler, Faik Baskaya School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia 303320250

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture- 05 VLSI Physical Design Automation (Part 1) Hello welcome

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

June 10, :03 vra23151_ch01 Sheet number 1 Page number 1 black. chapter. Design Concepts. 1. e2 e4, c7 c6

June 10, :03 vra23151_ch01 Sheet number 1 Page number 1 black. chapter. Design Concepts. 1. e2 e4, c7 c6 June 10, 2002 11:03 vra23151_ch01 Sheet number 1 Page number 1 black chapter 1 Design Concepts 1. e2 e4, c7 c6 1 June 10, 2002 11:03 vra23151_ch01 Sheet number 2 Page number 2 black 2 CHAPTER 1 Design

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Static Power and the Importance of Realistic Junction Temperature Analysis

Static Power and the Importance of Realistic Junction Temperature Analysis White Paper: Virtex-4 Family R WP221 (v1.0) March 23, 2005 Static Power and the Importance of Realistic Junction Temperature Analysis By: Matt Klein Total power consumption of a board or system is important;

More information

Routing ( Introduction to Computer-Aided Design) School of EECS Seoul National University

Routing ( Introduction to Computer-Aided Design) School of EECS Seoul National University Routing (454.554 Introduction to Computer-Aided Design) School of EECS Seoul National University Introduction Detailed routing Unrestricted Maze routing Line routing Restricted Switch-box routing: fixed

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores

Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores Noha Kafafi, Kimberly Bozman, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors EE105 Fall 2015 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Invention of Transistors - 1947 Bardeen, Shockley, and Brattain at Bell Labs Invented

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Chapter 8: Timing Closure

Chapter 8: Timing Closure Chapter 8 Timing Closure Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu 1 Chapter 8 Timing Closure 8.1 Introduction 8.2 Timing Analysis and Performance Constraints 8.2.1 Static Timing

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

User2User The 2007 Mentor Graphics International User Conference

User2User The 2007 Mentor Graphics International User Conference 7/2/2007 1 Designing High Speed Printed Circuit Boards Using DxDesigner and Expedition Robert Navarro Jet Propulsion Laboratory, California Institute of Technology. User2User The 2007 Mentor Graphics International

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Lecture 1: Digital Systems and VLSI

Lecture 1: Digital Systems and VLSI VLSI Design Lecture 1: Digital Systems and VLSI Shaahinhi Hessabi Department of Computer Engineering Sharif University of Technology Adapted with modifications from lecture notes prepared by the book author

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

Introduction to Electronic Design Automation

Introduction to Electronic Design Automation Introduction to Electronic Design Automation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 2014 1 Design Automation? 2 Course Info (1/4) Instructor Jie-Hong

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble

Electronic Design Automation at Transistor Level by Ricardo Reis. Preamble 1 Electronic Design Automation at Transistor Level by Ricardo Reis Preamble 1 Quintillion of Transistors 90 65 45 32 NM Electronic Design Automation at Transistor Level Ricardo Reis Universidade Federal

More information

Giovanni Betti Beneventi

Giovanni Betti Beneventi Technology Computer Aided Design (TCAD) Laboratory Lecture 1, Introduction Giovanni Betti Beneventi [Source: Synopsys] E-mail: gbbeneventi@arces.unibo.it ; giobettibeneventi@gmail.com Office: School of

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi. Introduction Reading: Chapter 1 Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Why study logic design? Obvious reasons

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information