ERAU the FAA Research CEH Tools Qualification

Size: px
Start display at page:

Download "ERAU the FAA Research CEH Tools Qualification"

Transcription

1 ERAU the FAA Research CEH Tools Qualification Contract DTFACT-07-C Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University FAA SW&CEH Conference Denver, CO August 20, 2008 ERAU College of Engineering page 1

2 Outline Contract DTFACT-07-C A Study on Tool Qualification for Complex Electronic Hardware, Mar 15, 2007 May 14, 2009 o Introduction o CEH Tools and Development Process o Tool Qualification o Concerns and Possible Solutions o Conclusions and Future Work ERAU College of Engineering page 2

3 Introduction: CEH Tools Project ERAU/FGCU Project: A Study on Tool Qualification for Complex Electronic Hardware with an objective to produce report on the state of art in the CEH tool market and the programmable logic tool qualification issues Explore safety issues in the assessment and qualification of tools used in developing complex electronic hardware (CEH) for the aircraft Typical devices are programmable logic devices (PAL, PLA, GAL, FPGA, ASIC, etc.) used as components of programmable electronic hardware RTCA DO-254, Design Assurance Guidance for Airborne Electronic Hardware, Section 11.4, Tool Assessment and Qualification is the starting point ERAU College of Engineering page 3

4 Literature Study Perspectives: o A broad view of the issues in designing CEH (26) o A focus on safety issues in avionics applications related to safety critical aspects of CEH (6) o An industry practices in qualification of CEH tools and compliance with DO-254 standard (18) Literature review points: o Plan to develop and verify PLD programs in the same way as software programs o Plan the safety argument from the start, and build up evidence throughout development o Use mature tools, amenable to qualification and supported throughout the project life time o Investigate the use of formal notations and analysis techniques to increase verifiability o Do not use programmable logic hardware just to avoid developing safety-critical software ERAU College of Engineering page 4

5 What is a tool? RTCA DO-254/ED-80 provides guidance for design assurance of airborne electronic hardware defining design assurance, lifecycle, processes (planning, design, V&V, CM, assurance, certification liaison), and the lifecycle data No clear definition of a tool in the RTCA DO-254 or associated CAST papers; paraphrasing DO-178B: A computer program or a hardware device used to help develop, test, analyze, produce or modify hardware component, subsystem, system or its documentation A tool reduces, eliminates, or automates the objectives of the design or verification process ERAU College of Engineering page 5

6 RTCA DO-254 DO-254 distinguishes between two primary types of tools: o Design Tools - Tools whose output is part of hardware design and thus can introduce errors. For example, an ASIC router or a tool that creates a board or chip layout based on a schematic or other detailed requirement (used to generate the hardware item or the hardware design, thus an error in the tool could introduce an error in the hardware item) o Verification Tools - Tools used to ensure performance against predetermined standards or requirements. These tools do not introduce errors, but may fail to detect them. For example, an analog or digital circuit simulator or an automated test that measures actual circuit performance. (used to verify the hardware item, an error in the tool may cause the tool to fail to detect an error in the hardware item or hardware design) ERAU College of Engineering page 6

7 CEH Tools Issues ASIC/FPGA tools have a thick layer of abstraction between the user Verilog or VHDL input and the tools output: the tool interprets the input, synthesizes/optimizes the logic, creates net lists, and translates the net list into a hardware layout Synthesis includes typically the optimization of logic, timing, and power aspects - a black box, with design as an input and synthesized design as an output FPGA/CPLD vendors are interested in developing software required to take a design (schematic or HDL) into a form that can be used to program a circuit they offer cost-effective entry-level design environments (complete packages with design entry, simulators, libraries, and the back end) Tool industry is dynamic and hardware keeps evolving, the software developers for back-end tools have to attend to two primary activities, developing libraries for new EDA tools and simulators, while creating better fitters and routers for new hardware with more resources and more complex architectures Evolving interchange standards such as EDIF and Verilog/VHDL help standardize interfaces to CAD tools and simulators in form of EDIF-compatible library of design elements using Verilog or VHDL to implement the models necessary for simulation environment ERAU College of Engineering page 7

8 1. Identify the tool yes no 2. Identify the process the tool supports 3. Independent assessment? no 4. Tool is design A/B/C or verification A/B? DO-254/ED-80 Tools Assessment and Qualification Process yes yes 5. Relevant tool history? no 6. Establish qualification baseline and problem reporting 7. Basic tool qualification 10. Complete the process 9. Design tool qualification ERAU College of Engineering page 8 yes 8. Tool is design tool A/B? no

9 Is Tool Qualification Required? A company working on a design assurance level A project writes a test bench that runs on a simulator and produces a pass/fail output o The test bench automates the verification process and is therefore a tool and thus must be assessed and qualified Can relevant product service history get us out of tool qualification? o Many design tool suites have hundreds of users doing diverse designs o Relevant service history requires the tracking of problem (bug) reports and their resolution Traceability data for all functional failure paths It is rare that all of the necessary data is available ERAU College of Engineering page 9

10 Is Tool Qualification Required? (2) The other way to avoid tool qualification is if the tool outputs are independently assessed From DO-254: Independent assessment of a design tool s output that is generated in whole or in part by the tool may be established by the verification activities performed on the item, such as component, netlist or assembly. In this case, the integrity of the end item does not depend upon the correctness of the design tool output alone ERAU College of Engineering page 10

11 Is Tool Qualification Required? (3) The correctness of the design tool can be assessed by verification tools and in-system hardware verification tests that are run o Conventional debugging of the hardware using logic analyzers etc. will also assess the correctness of the design tool It seems that design tools would rarely need to be qualified since it is unlikely that the output of the design tool is used without being verified both in simulation and in hardware ERAU College of Engineering page 11

12 CEH: Logic Design Activities Design Entry: HDL, schematic entry, integration of IP cores Synthesis: translation HDL design definition into the logical or physical representation for specific hardware platform Implementation & Configuration: assignment of the logic created during design entry and synthesis into specific physical resources of the target device. Verification: design verification ranging from simulation to static timing analysis to equivalency checking via formal verification. Board Level Integration: compatibility of programmable logic design with the entire system. ERAU College of Engineering page 12

13 Generic Design Flow Graph Design Entry Behavioral Simulation Synthesis Time Analysis Other Verification Place & Route Power Analysis Time Simulation Programming Configuration Functional Simulation ERAU College of Engineering page 13

14 The Concern It is possible for errors to be introduced that occur while translating the simulated design into hardware Normal verification techniques will catch most of these errors during hardware verification But some circuits are designed to operate only if the hardware is malfunctioning Examples are: o Triply redundant hardware with voting circuits Used to mitigate failure in one path o Metastability detection circuits Can be used to detect single-event upsets due to alpha particle radiation These circuits are difficult to verify in working hardware ERAU College of Engineering page 14

15 Example: Triply Redundant Hardware The synthesis tool can optimize the design in an unexpected fashion In A In B Intended Implemented ERAU College of Engineering page 15

16 Example: Metastability Sensing Circuits It is possible to detect metastability by monitoring the Q and Qbar outputs of a single flip-flop Intended Implemented ERAU College of Engineering page 16

17 A Problem The redundancy and metastability problems occur when: o design optimizations are applied during synthesis, and o the tool would not generate explicit warnings that the optimization had occurred The circuits should not operate if the hardware is functioning normally, thus error like this may not be detected during verification of the hardware ERAU College of Engineering page 17

18 Possible Solutions Since the problems are caused by the synthesizer performing optimizations, we could turn off all synthesizer optimizations o It is a possible solution, but it could be difficult to meet timing and area constraints without optimizations An experienced designer would recognize these conditions ahead of time and configure the synthesizer appropriately o Possible but difficult to verify whether or not the designer has handled all possible areas of concern ERAU College of Engineering page 18

19 Possible Solutions (2) Catch the errors in hardware verification o Add additional test circuitry to allow verification of all circuits Difficult to anticipate early in the design so that it can be written into the requirements o Add additional verification tests to verify circuit operation If the additional circuitry is in place to detect single-event upsets due to alpha particle radiation we could add radiation susceptibility tests No current standard for alpha particle radiation testing. Should it be in DO-160 rather than handled in DO-254? ERAU College of Engineering page 19

20 Possible Solutions (3) The problems introduced by the synthesizer could be detected by running simulations using the gate level netlist with back annotated timing data o Running the full test suite on a gate level netlist is feasible but it will be very slow and expensive However DO-254 guidance does not require to do this: o Analyzing the implementation below the level of that specified by the designer, such as at the gate or transistor level, is not necessary. (DO-254, Appendix B page B-9) o The designer worked at the HDL level not the gate level ERAU College of Engineering page 20

21 Other Likely Problems At DAL level A and B elemental analysis is used to assure that all functional failure paths have been covered However, some failure mechanisms can exist which occur at conditions that may not be identified as functional failure paths Some failures are related to where within the FPGA the actual hardware is located during the place and route phase ERAU College of Engineering page 21

22 Non-Functional Failure Path Concerns Two problems can be caused if large numbers of devices within the FPGA connected to the same internal FPGA power supply switch at the same time Resistance in the internal FPGA power bussing can cause the voltage provided to circuits in a particular location to drop Inductance in the FPGA power bussing can create Simultaneous Switching Noise if many outputs switch simultaneously Both mechanisms can cause errors directly or change the circuit timing leading to errors elsewhere ERAU College of Engineering page 22

23 Non-Functional Failure Path Concerns (2) Much of the information needed to analyze these conditions is proprietary to the FPGA vendor Vendor supplied FPGA tools are the best at addressing these issues However our survey indicates that the majority of designs are implemented using third party tools such as Mentor Graphics and Synplicity ERAU College of Engineering page 23

24 Industry Survey - Methodology Questionnaire distributed during the 2007 FAA SW&CEH Conference, New Orleans, LA, July 24-26, 2007 on a dedicated CEH session attended by 54 individuals interested in the CEH and the application of DO-254 Posting the questionnaire on the web and linking from website Follow-up mailing to over 150 individuals engaged in development of the aviation software and hardware The questionnaire distributed internally in companies engaged in the design of programmable logic devices Collection of feedback at the Programmable Logic User Group meeting in Clearwater, FL, November 15, 2007 As a result we obtained a sample of 45 recorded responses ERAU College of Engineering page 24

25 Industry Survey - Qualification Only eight out of 45 respondents indicated that tool qualification was attempted: The responses were inconsistent and incomplete; here is not verified list: o Aldec level A o Synplify level C, level A o Questa level A o ModelSim level A o Actel Libero level A Two respondents listed several tools but without clear statement if they were actually qualified and without specifying the qualification level/type (qualification activities have been performed and documented but never reviewed or challenged by the FAA) ERAU College of Engineering page 25

26 Type of Devices Survey: Tool Landscape Vendors of Hardware Devices pla/pal/gal 24% fpga 32% xilinx 24% actel 20% atmel 6% soc 8% cpld 18% asic 18% quicklog 5% lattice 12% cypress 11% altera 22% Vendors of Software Tools Errors Encountered others 18% synopsis 19% cadence 8% aldec 9% mentor 27% synplicity 19% no 52% yes 48% ERAU College of Engineering page 26

27 Survey: Population Educational Background Type of Work SW 17% proj.mgt 25% tools 3% components 21% HW 83% verification 15% application 36% Years of Expertise Work on DO-254 Projects <3 years 12% 3-6 years 19% yes 33% >12 years 50% 7-12 years 19% no 67% ERAU College of Engineering page 27

28 Industry Survey Selected Comments We qualified a tool by comparing a logic analyzer trace from the actual system with a simulation from the tool (including propagations delays and functionality). The DO-254 guidance is not useful and does not include what should be done with the data. Most of the tools are widely in use and do not have a negative safety impact. Mainly the repeatability and verification of the design itself affects safety All companies are attempting qualification differently and we have not seen any increase in safety by doing a qualification. These tools are in use in thousands of designs in the world and the probability of a tool qualification effort finding an error is very low or negligible The tools are designed for rapid freeform development and not for requirements based methodical design. Fine for commercial applications but not really targeted to high reliability design. Qualification is basically demonstrating the pedigree of the tool itself, most vendors either do not keep requirements based design and verification information or that information is considered proprietary or trades secret. Thus independent assessment of tool output will continue to be the primary, and sometimes only, methodology available for qualification. As long as that fact doesn t change, there will be very little progress Not having specific guidelines, there is too much uncertainty on what needs to be done to assess or qualify the tools The issue is about the user competence about the task being performed - do they know how to appropriately apply the tool? Guidance is extremely vague on the objectives of qualification. The use of the word "tool" is somewhat misleading. How the tool is applied is sometimes more of a risk than the tool itself. E.g. if a verification environment consists of a simulation testbench running on Modelsim, the tool itself should be less of an issue for assessment/qualification than the user developed simulation testbench ERAU College of Engineering page 28

29 Observations Vendor supplied FPGA tools are best at identifying failures that require knowledge of the FPGA internals Vendor supplied design tools are not commonly used design tools Vendor supplied tools often cannot close timing on designs that are easily handled by third party tools Vendor supplied tools can take advantage of detailed knowledge of the hardware to implement unique features ERAU College of Engineering page 29

30 Summary For many designs normal the normal verification process activities are sufficient to independently assess the tool outputs But some design problems are difficult to assess and require extra effort to identify and address o Requires knowledgeable designers and DERs o The risk is people do not know what they do not know DAL level A FPGA design and verification requires an experienced team of design and verification engineers Gate level verification of the design appears to be necessary ERAU College of Engineering page 30

31 Moving Forward We are executing several test cases to investigate issues including: o Non Functional Failure Path issues such as Simultaneous Switching Noise o Comparing tool reported device timing to actual measured timing to evaluate design robustness o Un-programmed circuitry operation: observe whether or not un-programmed logic blocks switch during normal device operation and what are the implications with respect to power supply and signal integrity ERAU College of Engineering page 31

32 Questions? Questions, comments, suggestions? Andrew J. Kornecki Brian Butka Janusz Zalewski ERAU College of Engineering page 32

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Making Safety Matter

Making Safety Matter SP-680 September 2010 Proceedings of the Fourth IAASS Conference Making Safety Matter 19 21 May 2010 Huntsville, Alabama, USA Sponsored by Canadia Space Agency (CSA ASC) Centre National d Etudes Spatiales

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools 1 White paper Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools The purpose of RTCA/DO-254 (referred to herein as DO-254 ) is to provide guidance for the development

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

DO254 User group, an industry initiative

DO254 User group, an industry initiative DO254 User group, an industry initiative Anne Sénéchal 1, Françoise Crestey 2 1: Barco, President Kennedy Park - 8500 Kortrijk, Belgium 2: Rockwell-Collins France, 6 avenue Didier Daurat, 31700 Blagnac,

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE380 Digital Logic Implementation Technology: Standard Chips and Programmable Logic Devices Dr. D. J. Jackson Lecture 10-1 Standard chips A number of chips, each with a few logic gates, are commonly

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:30 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

FPGA Design Process Checklist

FPGA Design Process Checklist FPGA Design Process Checklist Martin Fraeman Pete Eisenreich JHU/APL Laurel, MD 9/6/04 MAPLD 2004 1 Checklist Motivation Develop a process to consistently design FPGAs for space applications Useful to

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Fifth Semester (Elective)

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system Basic FPGA Tutorial using VHDL and VIVADO to design two frequencies PWM modulator system January 30, 2018 Contents 1 INTRODUCTION........................................... 1 1.1 Motivation................................................

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

APPLICATION OF ELECTRONIC DESIGN AUTOMATION IN ELECTRONIC DESIGN

APPLICATION OF ELECTRONIC DESIGN AUTOMATION IN ELECTRONIC DESIGN International Journal of Science, Environment and Technology, Vol. 6, No 6, 2017, 3276 3288 ISSN 2278-3687 (O) 2277-663X (P) APPLICATION OF ELECTRONIC DESIGN AUTOMATION IN ELECTRONIC DESIGN Fangxiong Changchun

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Sixth Semester (Elective)

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Validation and Verification of Field Programmable Gate Array based systems

Validation and Verification of Field Programmable Gate Array based systems Validation and Verification of Field Programmable Gate Array based systems Dr Andrew White Principal Nuclear Safety Inspector, Office for Nuclear Regulation, UK Objectives Purpose and activities of the

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description:

ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: ECE 124 Digital Circuits and Systems Winter 2011 Introduction Calendar Description: Number systems. Switching algebra. Hardware description languages. Simplification of Boolean functions. Combinational

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores

Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores Architectures and Algorithms for Synthesizable Embedded Programmable Logic Cores Noha Kafafi, Kimberly Bozman, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British

More information

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Implementation of digital it frequency dividersid PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Why frequency divider? Motivation widely used in daily life Time counting (electronic clocks, traffic lights,

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Introducing Functional Qualification

Introducing Functional Qualification Introducing Functional Qualification Certess Inc 2007 1 Table of contents Introduction _ 3 Functional verification quality 4 Mutation based testing _ 7 Certitude: Leading functional qualification 8 Bibliography

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Séminaire Supélec/SCEE

Séminaire Supélec/SCEE Séminaire Supélec/SCEE Models driven co-design methodology for SDR systems LECOMTE Stéphane Directeur de thèse PALICOT Jacques Co-directeur LERAY Pierre Encadrant industriel GUILLOUARD Samuel Outline Context

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

UNIT-III LIFE-CYCLE PHASES

UNIT-III LIFE-CYCLE PHASES INTRODUCTION: UNIT-III LIFE-CYCLE PHASES - If there is a well defined separation between research and development activities and production activities then the software is said to be in successful development

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Lecture 23 Encounter in Depth and Conclusion

Lecture 23 Encounter in Depth and Conclusion Lecture 23 Encounter in Depth and Conclusion Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Some Final Administrative Stuff 2 Class Project Presentation

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1 Logic Analyzers EECS150 Spring 2007 Lab Lecture #5 Shah Bawany 2/16/2007 EECS150 Lab Lecture #5 1 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope

More information

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Lecture 5 Doru Todinca Textbook This chapter is based on the book [RothKinney]: Charles H. Roth, Larry L. Kinney, Fundamentals

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 48 Testing of VLSI Circuits So, welcome back. So far in this

More information

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Classic. Feature. EPLD Family. Table 1. Classic Device Features Classic EPLD Family May 1999, ver. 5 Data Sheet Features Complete device family with logic densities of 300 to 900 usable gates (see Table 1) Device erasure and reprogramming with non-volatile EPROM configuration

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Instrumentation and Control

Instrumentation and Control Program Description Instrumentation and Control Program Overview Instrumentation and control (I&C) and information systems impact nuclear power plant reliability, efficiency, and operations and maintenance

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Scientific Certification

Scientific Certification Scientific Certification John Rushby Computer Science Laboratory SRI International Menlo Park, California, USA John Rushby, SR I Scientific Certification: 1 Does The Current Approach Work? Fuel emergency

More information

A Self-Contained Large-Scale FPAA Development Platform

A Self-Contained Large-Scale FPAA Development Platform A SelfContained LargeScale FPAA Development Platform Christopher M. Twigg, Paul E. Hasler, Faik Baskaya School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia 303320250

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs 11 th September 2009 Tools for Re/Programmable FPGAs 1 V3.0 Agenda (1/4) 9:00 9:15 Welcome address Agustín Fernández-León,

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018

ECE 172 Digital Systems. Chapter 2 Digital Hardware. Herbert G. Mayer, PSU Status 6/30/2018 ECE 172 Digital Systems Chapter 2 Digital Hardware Herbert G. Mayer, PSU Status 6/30/2018 1 Syllabus l Term Sharing l Standard Forms l Hazards l Decoders l PLA vs. PAL l PROM l Bibliography 2 Product Term

More information

Design of Logic Systems

Design of Logic Systems Design of Logic Systems Design of Logic Systems Second edition D. Lewin Formerly Professor of Computer Science and Information Engineering, University of Sheffield D. Protheroe Lecturer in Electronic Engineering,

More information

Academic Course Description

Academic Course Description BEC702 Digital CMOS VLSI Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC702 Digital CMOS VLSI Seventh Semester

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information