Introducing Functional Qualification

Size: px
Start display at page:

Download "Introducing Functional Qualification"

Transcription

1 Introducing Functional Qualification Certess Inc

2 Table of contents Introduction _ 3 Functional verification quality 4 Mutation based testing _ 7 Certitude: Leading functional qualification 8 Bibliography _ 10 Certess Inc

3 Introduction Functional verification is consuming more and more resources in IP design projects. As designs grow in complexity, designers have an increasing need to rely on a dedicated verification team to insure that systems fully meet their specifications. Verification engineers have at their disposal a set of dedicated tools and methodologies for verification automation and quality improvement. In spite of this, functional logic errors are the largest cause of re spins (43% of re spins according to Aart de Geus, Synopsys CEO, 2003). A key reason is that two important aspects of the quality of a verification environment cannot be analyzed or measured: its propagation and detection capabilities. Prior to functional qualification EDA tools were unable to assess how good simulation based functional verification was. Certess has created functional qualification, a new technology that can measure and help improve all aspects of functional verification quality for HDL simulation based functional verification. Certitude, the industry s first functional qualification tool, helps Certess' clients improve and measure the quality of their verification environment. This paper describes the fundamental aspects of functional verification that remain invisible to existing verification tools. It then introduces the origins and the main concepts of a technology that allows this gap to be closed: mutation based testing. It describes how Certess has used this technology to deliver functional qualification. Finally it describes how Certitude, Certess' leading tool, fills the functional verification gap and how it interacts with other verification tools. Certess Inc

4 Functional verification quality Dynamic functional verification is a specific field of competence, with specialized tools, methodologies and measures to manage the verification of increasingly complex sets of features and their interactions. From a project perspective, the main goal of functional verification is to get to market with acceptable quality within given time and resource constraints, while avoiding costly silicon re spins. But functional logic errors are the cause of 43% of re spins. At the start of design projects, once the system specifications are available, a functional testplan is written. From this testplan a verification environment is developed. This environment has to provide the design with the appropriate stimuli and check if the design's behavior matches what is expected. The verification environment is responsible for verifying that a design behaves as specified. Functional verification can thus be decomposed as follows (Figure 1): 1. A testplan defines the functionality to verify. 2. All functional portions of the RTL code specified in the testplan have to be stimulated (activation). 3. The design's response has to be observed (propagation). 4. The observed response has to match the expectations (detection). Figure 1: The four aspects of functional verification If we define a bug as some unexpected behavior of the design, the verification environment has to (1) plan which behavior must be verified, (2) activate this behavior, (3) propagate this Certess Inc

5 behavior to an observation point and (4) detect this behavior as not expected. Therefore, the quality of a functional verification environment is the quality of these four aspects combined. Having perfect activation does not help much if the detection is highly defective. Similarly, a potentially perfect detection will have nothing to detect if no stimulus is propagated. No traditional technology can provide objective information relating to propagation and detection. A simple example will illustrate this: Comment out the checking part of the verification environment and replace this with the equivalent of test=pass. Before Certitude this error could only be found by a manual review of the verification environment. There is a lack of adequate metrics to track the progress of verification. Prior to the introduction of functional qualification no tool provided accurate data to help engineers decide if the performed verification was sufficient. Indeed, when to stop verification is a key challenge of functional verification. This decision is still based on incomplete measures of the functional verification quality. How could a verification team know when to stop when there is no automated measurement and analysis of the propagation and detection part of verification? There is a new class of EDA tools that can solve these difficulties. These are functional qualification tools that use mutation based principles. Mutation based testing allows both improvement and debugging of the checking part of the verification environment and measurement of the verification progress. It measures the propagation and detection abilities of verification environments (Figure 3). Certess Inc

6 Functional Qualification Stimulus RTL Design VHDL/Verilog Ref Model/ checking Testplan Verification environment Figure 2: Functional Qualification Mutation based testing The microelectronic RTL design development chain needs functional qualification to analyze the propagation and detection capacities of verification environments, without which functional verification quality cannot be accurately assessed. The innovation Certess has introduced is the efficient use of mutation based technology. Mutation based testing research originated in 1971 in software research. The fathers of this computer science field are Richard J. Lipton and Richard A. DeMillo (see DeMillo et al, 1979). This technique aims to guide software testing towards the most effective test sets possible. A mutation is an artificial modification in the tested program, induced by a fault operator. Certitude uses the term fault to describe mutations for microelectronic systems. A mutation is a behavioral modification: it changes the behavior of the tested program. The test set is then modified in order to detect this behavior change. When the test set detects all the induced mutations (or kills the mutants in mutation based nomenclature), the test set is said to be mutation adequate. Several theoretical constructs and hypotheses have been defined to support mutation based testing. We call the program modified by a single behavioral change the mutated program. Certess Inc

7 If the [program] contains an error, it is likely that there is a mutant that can only be killed by a testcase that also detects this error (Offutt A.J., 1992) is one of the basic principles of mutation based testing. A test set that is mutation adequate is better at finding bugs than one which is not (Offutt A.J. and Untch R.H., 2000). So mutation based testing has two uses. It can: Assess/measure the effectiveness of a test set, i.e. how good is the test set at finding bugs? Help in the construction/improvement of an effective test set, i.e. what has to be modified in order to have a better test set? Significant research continues to concentrate on the identification of the most effective group of fault types (Mortensen M. and Alexander R.T., 2005). Research also focuses on techniques aimed at optimizing the performance of this testing methodology. Optimization techniques developed include selective mutation (Offut A.J. et all., 1993), randomly selected mutation (Acree A.T. et all., 1979) or constrained mutation (Mathur A.P., 1991). Certitude: Leading the way in functional qualification Using the principles of mutation based testing and the knowledge acquired through years of experimentation in this field and in microelectronic verification, Certess has created Certitude. This patent pending technology is used on numerous functional verification projects of large semiconductor and systems manufacturers. The generic fault model, adapted to microelectronic IP design verification, has been refined by Certess and tested in extreme situations, resulting in the Certitude fault model. Specific performance improvement algorithms have been developed and implemented in Certitude to increase performance when using mutation based methodologies for functional verification improvement and measurement. The basic principle of injecting faults into a design in order to check the quality of certain parts of the verification environment is known to verification engineers. Verifiers occasionally resort to this technique when they have a doubt about their test bench and there is no other way to obtain feedback. In this case of hand crafted mutation based testing, the checking is limited to a very specific area of the verification environment that concerns the verification engineer. Expanding this manual approach beyond a small piece of code would be impractical. By automating this operation, Certitude enables the use of mutation based analysis as an Certess Inc

8 objective and exhaustive way to analyze, measure and improve the quality of functional verification environments for complex IP blocks. Certitude provides detailed information on the propagation and detection capabilities of verification environments, identifying significant weaknesses and bugs that have gone unnoticed by classical coverage. The analysis of the faults that don't propagate or are not detected by the verification environment, points to weaknesses in the stimuli, the observability and the checkers. Certitude is complemented by methodologies that enable users to efficiently locate weaknesses and bugs in the verification environment, as well as accurate data to help correct them. Certitude is easy to use thanks to its HTML report that gives complete and flexible access to all results of the analysis (figure 4). The usability is enhanced by a TCL shell interface. The report in Figure 4 shows where faults have been injected in the HDL code, the status of these faults (not activated, or not propagated, or not detected...) and details about one specific fault. The original HDL code is presented with color highlighted links indicating where faults have been qualified by Certitude. Certess Inc

9 Figure 3. Example of Certitude HTML Report Certitude is tightly integrated with the main industry simulators: Mentor ModelSim, Cadence NC Sim and Synopsys VCS. It does not require modifications in the organization and execution of the user's existing verification environment. Certitude is fully compatible with up to date verification methodologies such as random based stimulus generation and PSL assertions. Certitude can be used with any verification environment. Easy to integrate in existing functional verification flows, Certitude functional qualification is the only technology that can assess and identify how good the verification environment is for activation, propagation and detection of errors. Certess Inc

10 Bibliography A.T. Acree, T.A. Budd, R.A. DeMillo, R.J. Lipton, and F.G. Sayward. Mutation Analysis. Technical Report GIT ICS 79/08, Georgia Institute of Technology, Atlanta GA, R.A. DeMillo, R.J. Lipton and F.J. Sayward. Hints on Test Data Selection: Help for the Practicing Programmer. IEEE Computer, 11(4): p , A.P. Mathur. Performance, Effectiveness and Reliability Issues in Software Testing. In 15th Annual International Computer Software and Applications Conference, p , Tokyo, Japan, M. Mortensen and R.T. Alexander. An Approach for Adequate Testing of AspectJ Programs Workshop on Testing Aspect Oriented Programs (held in conjunction with AOSD 2005), 2005 A.J. Offutt. Inverstigations of the Software Testing Coupling Effect. ACM Trans Soft Eng and Meth, Vol. 1, No 1, p. 5 20, A.J. Offutt, G. Rothermel and C. Zapf. An Experimental Evaluation of Selective Mutation. In 15th International Conference on Software Engineering, p , Baltimore, MD, A.J. Offutt and R.H. Untch. Mutation 2000: Uniting the Orthogonal. Mutation 2000: Mutation Testing in the Twentieth and the Twenty First Centuries, p , San Jose, CA, Certess Inc

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions mike@tandvsolns.co.uk Myth 1: Half of all chip developments require a re-spin, three quarters due to functional

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools 1 White paper Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools The purpose of RTCA/DO-254 (referred to herein as DO-254 ) is to provide guidance for the development

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction

Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction 3/18/2012 Low Complexity Cross Parity Codes for Multiple and Random Bit Error Correction M. Poolakkaparambil 1, J. Mathew 2, A. Jabir 1, & S. P. Mohanty 3 Oxford Brookes University 1, University of Bristol

More information

Verification and Validation for Safety in Robots Kerstin Eder

Verification and Validation for Safety in Robots Kerstin Eder Verification and Validation for Safety in Robots Kerstin Eder Design Automation and Verification Trustworthy Systems Laboratory Verification and Validation for Safety in Robots, Bristol Robotics Laboratory

More information

Verification Futures The Next 5 Years

Verification Futures The Next 5 Years Verification Futures The Next 5 Years Tuesday, 15 th November 2011 Hilton Hotel, Reading The FREE conference will look at the challenges in verification and how these will change over the next 5 years,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Limitations of Current Evaluation Practice

Limitations of Current Evaluation Practice Tool-Supported Fault Localization in Spreadsheets: Limitations of Current Evaluation Practice Birgit Hofer, Franz Wotawa Dietmar Jannach, Thomas Schmitz Kostyantyn Shchekotykhin 1 Int. Workshop on Software

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES A. MOLINA and O. CADENAS Computer Architecture Department, Universitat Politècnica de Catalunya, Barcelona, Spain amolina@ac.upc.edu School of System

More information

Giovanni Squillero

Giovanni Squillero Giovanni Squillero giovanni.squillero@polito.it Copyright is held by the author/owner(s). GECCO 08, July 12 16, 2008, Atlanta, Georgia, USA. ACM 978-1-60558-131-6/08/07. Giovanni Squillero giovanni.squillero@polito.it

More information

Research Statement. 1. Research Overview. 2. Current Research Agenda

Research Statement. 1. Research Overview. 2. Current Research Agenda Research Statement Gregory James Gay Department of Computer Science and Engineering, University of South Carolina 2247 Storey Innovation and Engineeing Center, 550 Assembly Street, Columbia, SC 29208 (803)-777-9479,

More information

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics

AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics AMS Verification for High Reliability and Safety Critical Applications by Martin Vlach, Mentor Graphics Today, very high expectations are placed on electronic systems in terms of functional safety and

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

2. Simulated Based Evolutionary Heuristic Methodology

2. Simulated Based Evolutionary Heuristic Methodology XXVII SIM - South Symposium on Microelectronics 1 Simulation-Based Evolutionary Heuristic to Sizing Analog Integrated Circuits Lucas Compassi Severo, Alessandro Girardi {lucassevero, alessandro.girardi}@unipampa.edu.br

More information

Instrumentation and Control

Instrumentation and Control Program Description Instrumentation and Control Program Overview Instrumentation and control (I&C) and information systems impact nuclear power plant reliability, efficiency, and operations and maintenance

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS HOW TO MINIMIZE DESIGN MARGINS WITH ACCURATE ADVANCED TRANSISTOR DEGRADATION MODELS Reliability is a major criterion for

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models BMAS Conference, San Jose, CA Robert O. Peruzzi, Ph. D. September, 2006 Agenda Introduction Human Error: Finding and

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Heterogeneous Systems on Chip and Systems in Package

Heterogeneous Systems on Chip and Systems in Package Heterogeneous Systems on Chip and Systems in Package I. O'Connor, Ecole Centrale de Lyon, France; B. Courtois, TIMA Laboratory, France; K. Chakrabarty, Duke University, USA; N. Delorme, CEA-LETI, France;

More information

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Ratheesh Mekkadan, Advanced Micro Devices, Inc., Bangalore, India (ratheesh.mekkadan@amd.com) Abstract The physical layer of the MIPI-camera

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder Implementation of 5-bit High Speed and Area Efficient Carry Select Adder C. Sudarshan Babu, Dr. P. Ramana Reddy, Dept. of ECE, Jawaharlal Nehru Technological University, Anantapur, AP, India Abstract Implementation

More information

Chapter 8: Verification & Validation

Chapter 8: Verification & Validation 1 Chapter 8: Verification & Validation 2 Objectives To introduce software verification and validation and discuss the distinctions between them. V&V: Verification & Validation To describe the program inspection

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Functional safety for semiconductor IP

Functional safety for semiconductor IP Functional safety for semiconductor IP Lauri Ora Functional Safety Manager, CPU Group NMI ISO 26262 Practitioner s Workshop January 20 th, 2016, Nuneaton Intellectual property supplier s point of view

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:30 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

Automated Model Based Requirement Coverage Analysis Tool Chethan C U

Automated Model Based Requirement Coverage Analysis Tool Chethan C U Automated Model Based Requirement Coverage Analysis Tool Chethan C U cchethan@moog.com chethan.cu@gmail.com 22 The MathWorks, Inc. Outline DO 78B guidelines for Software Testing Functional Requirements

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

Confidently Assess Risk Using Public Records Data with Scalable Automated Linking Technology (SALT)

Confidently Assess Risk Using Public Records Data with Scalable Automated Linking Technology (SALT) WHITE PAPER Linking Liens and Civil Judgments Data Confidently Assess Risk Using Public Records Data with Scalable Automated Linking Technology (SALT) Table of Contents Executive Summary... 3 Collecting

More information

Coverage Metrics. UC Berkeley EECS 219C. Wenchao Li

Coverage Metrics. UC Berkeley EECS 219C. Wenchao Li Coverage Metrics Wenchao Li EECS 219C UC Berkeley 1 Outline of the lecture Why do we need coverage metrics? Criteria for a good coverage metric. Different approaches to define coverage metrics. Different

More information

Software-Centric and Interaction-Oriented System-on-Chip Verification

Software-Centric and Interaction-Oriented System-on-Chip Verification THE UNIVERSITY OF ADELAIDE Software-Centric and Interaction-Oriented System-on-Chip Verification by Xiao Xi Xu B.E. (Automatic Control) Shanghai Jiao Tong University, China, 1996 A thesis submitted for

More information

Improved Model Generation of AMS Circuits for Formal Verification

Improved Model Generation of AMS Circuits for Formal Verification Improved Generation of AMS Circuits for Formal Verification Dhanashree Kulkarni, Satish Batchu, Chris Myers University of Utah Abstract Recently, formal verification has had success in rigorously checking

More information

Ring Oscillator PUF Design and Results

Ring Oscillator PUF Design and Results Ring Oscillator PUF Design and Results Michael Patterson mjpatter@iastate.edu Chris Sabotta csabotta@iastate.edu Aaron Mills ajmills@iastate.edu Joseph Zambreno zambreno@iastate.edu Sudhanshu Vyas spvyas@iastate.edu.

More information

Test & Measurement Technology goes Embedded

Test & Measurement Technology goes Embedded Thomas Wenzel Test & Measurement Technology goes Embedded The Electronics World speaks Embedded No doubt! The term embedded is omnipresent and can be found in nearly every development sector. And everybody

More information

Editorial: Aspect-oriented Technology and Software Quality

Editorial: Aspect-oriented Technology and Software Quality Software Quality Journal Vol. 12 No. 2, 2004 Editorial: Aspect-oriented Technology and Software Quality Aspect-oriented technology is a new programming paradigm that is receiving considerable attention

More information

Verification for test. Andy White, Nujira ltd

Verification for test. Andy White, Nujira ltd Verification for test Andy White, Nujira ltd Outline Introduction to Nujira Our design flow How DfT and VfT fits into our flow Device verification metrics Analogue verification coverage Nujira Company

More information

VERIFICATION HORIZONS

VERIFICATION HORIZONS When It Comes to Verification, Hitting the Wall Can Be a Good Thing. By Tom Fitzpatrick, Editor and Verification Technologist VERIFICATION HORIZONS A PUBLICATION OF MENTOR A SIEMENS BUSINESS VOLUME 13,

More information

A Tool and Methodology for AC-Stability Analysis of Continuous-Time Closed-Loop Systems

A Tool and Methodology for AC-Stability Analysis of Continuous-Time Closed-Loop Systems A Tool and Methodology for AC-Stability Analysis of Continuous-Time Closed-Loop Systems Momchil Milev milev_momtchil@ti.com Rod Burt burt_rod@ti.com Abstract Presented are a methodology and a DFII-based

More information

How is a CMC Standard Model Implemented And Verified in a Simulator?

How is a CMC Standard Model Implemented And Verified in a Simulator? How is a CMC Standard Model Implemented And Verified in a Simulator? MOS-AK Workshop, Jushan Xie Vice Chairman of the CMC Senior Architect, Cadence Design Systems, Inc. 1 Content Benefit of CMC standard

More information

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools

A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools A Novel High-Speed, Higher-Order 128 bit Adders for Digital Signal Processing Applications Using Advanced EDA Tools K.Sravya [1] M.Tech, VLSID Shri Vishnu Engineering College for Women, Bhimavaram, West

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

LEARNING FROM THE AVIATION INDUSTRY

LEARNING FROM THE AVIATION INDUSTRY DEVELOPMENT Power Electronics 26 AUTHORS Dipl.-Ing. (FH) Martin Heininger is Owner of Heicon, a Consultant Company in Schwendi near Ulm (Germany). Dipl.-Ing. (FH) Horst Hammerer is Managing Director of

More information

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis,

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, TM November 2012 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, mobilegt, PowerQUICC, Processor Expert, QorIQ,

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT

MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT MULTI-LAYERED HYBRID ARCHITECTURE TO SOLVE COMPLEX TASKS OF AN AUTONOMOUS MOBILE ROBOT F. TIECHE, C. FACCHINETTI and H. HUGLI Institute of Microtechnology, University of Neuchâtel, Rue de Tivoli 28, CH-2003

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Port radio data networks

Port radio data networks Port radio data networks A WHITE PAPER Abstract: This document is intended to provide a management level summary of the considerations for implementing radio data networks in port and terminal environments.

More information

Program Testing and Analysis: Symbolic and Concolic Testing (Part 2) Dr. Michael Pradel Software Lab, TU Darmstadt

Program Testing and Analysis: Symbolic and Concolic Testing (Part 2) Dr. Michael Pradel Software Lab, TU Darmstadt Program Testing and Analysis: Symbolic and Concolic Testing (Part 2) Dr. Michael Pradel Software Lab, TU Darmstadt 1 Warm-up Quiz What does the following code print? var sum = 0; var array = [11, 22, 33];

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Curriculum Vitae. Education. Distinctions. Personal info

Curriculum Vitae. Education. Distinctions. Personal info Personal info Full name: Date/Place of birth: February 24 th, 1982, Athens, Greece Nationality: Greek e-mail: evlogaras@yahoo.com Personal website: http://cgi.di.uoa.gr/~evlog/ Education 2008-2015, Ph.D.

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution?

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Rolf Drechsler University of Bremen/DFKI Germany drechsle@informatik.uni-bremen.de Christophe Chevallaz STMicroelectronics Grenoble,

More information

Teaching digital control of switch mode power supplies

Teaching digital control of switch mode power supplies Teaching digital control of switch mode power supplies ABSTRACT This paper explains the methodology followed to teach the subject Digital control of power converters. The subject is focused on several

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

A BRIEF REVIEW ON MECHATRONICS RESEARCH AND OPPORTUNITIES

A BRIEF REVIEW ON MECHATRONICS RESEARCH AND OPPORTUNITIES A BRIEF REVIEW ON MECHATRONICS RESEARCH AND OPPORTUNITIES Dinesh Kumar 1, Sanjay Kumar Mirania 2, Shailendra Kumar Bohidar 3 1,2 Lecturer, Electronics and Tele.Comm. Kirodimal Institute of Technology,

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

Top-Down Design of Mixed-Signal Circuits

Top-Down Design of Mixed-Signal Circuits Top-Down Design of Mixed-Signal Circuits Ken Kundert Cadence Design Systems, San Jose, California, USA Abstract With mixed-signal designs becoming more complex and time-to-market windows shrinking, designers

More information

Image toolbox for CMOS image sensors simulations in Cadence ADE

Image toolbox for CMOS image sensors simulations in Cadence ADE Image toolbox for CMOS image sensors simulations in Cadence ADE David Navarro, Zhenfu Feng, ijayaragavan iswanathan, Laurent Carrel, Ian O'Connor Université de Lyon; Institut des Nanotechnologies de Lyon

More information

Is This a Bug or an Obsolete Test?

Is This a Bug or an Obsolete Test? Is This a Bug or an Obsolete Test? What is the problem? previous version later version public class Testcases Account a; protected void setup() a=new Account(100.0,"user1"); protected void teardown() public

More information

IMPLEMENTATION OF NEURAL NETWORK IN ENERGY SAVING OF INDUCTION MOTOR DRIVES WITH INDIRECT VECTOR CONTROL

IMPLEMENTATION OF NEURAL NETWORK IN ENERGY SAVING OF INDUCTION MOTOR DRIVES WITH INDIRECT VECTOR CONTROL IMPLEMENTATION OF NEURAL NETWORK IN ENERGY SAVING OF INDUCTION MOTOR DRIVES WITH INDIRECT VECTOR CONTROL * A. K. Sharma, ** R. A. Gupta, and *** Laxmi Srivastava * Department of Electrical Engineering,

More information

Picosecond Laser Stimulation status, applications & challenges

Picosecond Laser Stimulation status, applications & challenges Picosecond Laser Stimulation status, applications & challenges Vincent POUGET IMS, University of Bordeaux, Talence, France Laboratoire de l Intégration, du Matériau au Système CNRS UMR 5218 Outline Picosecond

More information

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1

Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor. 1 Introduction. For Quartus II 13.1 Introduction to Simulation of Verilog Designs Using ModelSim Graphical Waveform Editor For Quartus II 13.1 1 Introduction This tutorial provides an introduction to simulation of logic circuits using the

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Introduction to adoption of lean canvas in software test architecture design

Introduction to adoption of lean canvas in software test architecture design Introduction to adoption of lean canvas in software test architecture design Padmaraj Nidagundi 1, Margarita Lukjanska 2 1 Riga Technical University, Kaļķu iela 1, Riga, Latvia. 2 Politecnico di Milano,

More information

Machine Learning for Hardware Design. Elyse Rosenbaum University of Illinois at Urbana- Champaign Oct. 18, 2017

Machine Learning for Hardware Design. Elyse Rosenbaum University of Illinois at Urbana- Champaign Oct. 18, 2017 Machine Learning for Hardware Design Elyse Rosenbaum University of Illinois at Urbana- Champaign Oct. 18, 2017 Questions, Questions, Questions 1. How can design productivity be improved? 2. What is machine

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

The role of testing in verification and certification Kerstin Eder

The role of testing in verification and certification Kerstin Eder The role of testing in verification and certification Kerstin Eder Design Automation and Verification, Microelectronics [and Trustworthy Systems Laboratory] Verification and Validation for Safety in Robots,

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

INTERACTIVE DYNAMIC PRODUCTION BY GENETIC ALGORITHMS

INTERACTIVE DYNAMIC PRODUCTION BY GENETIC ALGORITHMS INTERACTIVE DYNAMIC PRODUCTION BY GENETIC ALGORITHMS M.Baioletti, A.Milani, V.Poggioni and S.Suriani Mathematics and Computer Science Department University of Perugia Via Vanvitelli 1, 06123 Perugia, Italy

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Introduction Contemporary Logic Design Randy H. Katz University of California, erkeley May 994 No. - The Process Of Design Design Implementation Debug Design Initial concept: what is the function

More information

Generalized Game Trees

Generalized Game Trees Generalized Game Trees Richard E. Korf Computer Science Department University of California, Los Angeles Los Angeles, Ca. 90024 Abstract We consider two generalizations of the standard two-player game

More information

Software Verification and Validation. Prof. Lionel Briand Ph.D., IEEE Fellow

Software Verification and Validation. Prof. Lionel Briand Ph.D., IEEE Fellow Software Verification and Validation Prof. Lionel Briand Ph.D., IEEE Fellow 1 Lionel s background Worked in industry, academia, and industry-oriented research institutions France, USA, Germany, Canada,

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information