Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions

Size: px
Start display at page:

Download "Lies, Damned Lies and Hardware Verification. Mike Bartley, Test and Verification Solutions"

Transcription

1 Lies, Damned Lies and Hardware Verification Mike Bartley, Test and Verification Solutions

2 Myth 1: Half of all chip developments require a re-spin, three quarters due to functional bugs Source? The 2004/2002 IC/ASIC Functional Verification Study by Collett International Research But it is misquoted! The percentages add to more than 100% Because the survey shows what was being fixed during the respin rather than the cause of the respin It actually shows that 71% of respins involve a fix to a functional bug rather than being caused by one

3 Myth 1: Half of all chip developments require a re-spin, three quarters due to functional bugs Issues Found on First Spin ICs/ASICs 90nm % 10% 20% 30% 40% 50% Functional Logic Error Analog Tuning Issue Signal Integrity Issue Clock Scheme Error Reliability Issue Mixed Signal Problem Too Much Power Has Path(s) Too Slow Has Path(s) Too Fast IR Drop Issues Firmware Error Other 20% 17% 14% 12% 11% 11% 10% 10% 7% 4% 3% Source: Aart de Geus, Chairman and CEO of Synopsys. Based on a survey of 2000 users by Synopsys 43% Fnal AMS 20% 21% 22% 23% 26% 17% Functional and AMS bugs are leading causes of silicon respins Source = Global IC (ASSP/ASIC) Service Management Report 2007, IBS Functional bugs ARE the main cause for re-spin But they do not cause 75% of re-spins

4 Myth 2: Verification takes 70% of the design cycle Source unknown An EDA sales person?? 2004 EE Times survey for IC 662 respondents to the chip design survey 47% design engineers, 28% engineering managers, 7% verification engineers, 5% CAD managers + others system-level design = 13% logic design = 20% functional verification = 22% synthesis = 8% IC place and route = 13% IC physical verification and analysis =11% analog/mixed-signal design = 13%.

5 Myth 2: Verification takes 70% of the design cycle Source: EE Times 2006 EDA Users Survey

6 Myth 2: Verification takes 70% of the design cycle Cost ($M) IC Design Costs Prototype Validation Physical Verification Architecture µm 0.13µm 90nm Silicon Feature Dimension Source: IBM/IBS, Inc.

7 Myth 2: Verification takes 70% of the design cycle Can we explain the 70% claim? Performing verification during 70% of the design cycle? If we consider just the RTL design phase then the survey suggests it is over 50% but still not up to 70%. What is verification? For example, does it include Debug? HW/SW co-verification? Prototyping? Is it just functional or does it include all verification? (incl physical) Is it just sometimes true? Does it just help sell more verification tools? What can we learn? For functional verification the consensus is about 30% - but it varies The trend is upwards!

8 Myth 3: The verification challenge is growing at a double exponential rate Source Various e.g. Is Methodology the Highway Out of Verification Hell? debated the following at DAC2005 many contend that the verification challenge is growing at a double exponential rate, Is verification related to design size? Does increased memory size increase verification effort? Is an 8 bit adder more complex to verify than a 4 bit adder? How many gates can we design a day? Verify a day? (note size may matter in formal)

9 Myth 3: The verification challenge is growing at a double exponential rate The verification challenge is more closely related to complexity But how do we measure complexity? The software world has quite a few metrics here! Function point analysis, cyclometric complexity What metrics do we have in hardware? Size of spec? KLOC? Number of coverage points? The way out of the problem Verification IP Verification methodologies to facilitate re-use Improved verification techniques

10 Myth 4: Pseudo-random simulation has killed off directed testing Coverage Source Vendors of pseudo-random simulation tools?? Comparing the two techniques How to determine pass/fail Pseudo-random test benches must be self-checking Directed can exploit that they know the expected result Some scenarios are hard/inefficient to Describe by coverage Hit in pseudo-random simulation Key Pseudo Directed Pseudo-random testing requires more simulations to hit the coverage Over time pseudo-random test generation is more efficient Pseudo-random hits many unexpected scenarios

11 Myth 4: Pseudo-random simulation has killed off directed testing Choosing between the two techniques Availability of an oracle Design complexity (again!) The economics of bugs (cheaper to fix the earlier they are found) The size of the state space Simulation times Technology Hardware/Software co-verification Legacy Inertia Reuse during Silicon bring-up Infrastructure Skills

12 Myth 5: Formal verification will eventually replace simulation Source Academia (and a few CAD companies) Comparing the two techniques Realism Cost People Tool Capacity Transparency and Confidence Continuous Results Incrementability and Regression Bottom-Up and Top-Down

13 Myth 5: Formal verification will eventually replace simulation Combining the two techniques Quite often there are separate formal and simulation teams This can create cost choices How do we combine the two into an effective solution? Given a proven property what simulations can I skip? Is this the right question? We need to be more tactical? Formal search from a complex scenario or previous bug Generate simulations from formal tools The tool vendors seem to be moving this way

14 Further Myths We don t need both functional coverage and structural coverage let s pick one They are complimentary use both Others assertion coverage, formal verification coverage metrics Our bug discovery rate has dropped we are good to ship Bug discovery rate can demonstrate the lack of maturity Be careful if using this metric to make a positive release decision It is a necessary but not a sufficient condition Our bug discovery rate has dropped AND we have high coverage we are good to ship How good is your test bench? stimulus, error propagation, detection Test bench qualification through mutation testing?

15 Further Myths Trust our design IP it is fully verified Audit your supplier! How mature is the IP? What defects have been detected? Overall verification strategy and its execution history What verification support is there with the IP? How many working chips using the IP? And non-working chips? Are my particular configuration options been proven in silicon? How many changes since the last successful chip? Verify the IP in the context of your chip? Is design re-use compounding the verification bottleneck? Can we get IP re-use without re-verification? Fight fire with fire Get a discount per bug found! Tell your supplier the cheque is in the post

16 Conclusion Beware sales people bearing statistics The relative verification effort IS increasing but not 70% in general Design IP re-use is not completely cost free the re-use cost is usually higher for the verification team Decisions, decisions (Directed vs. pseudo-random vs. formal) X (Block vs. Chip) Metrics-driven verification Verification teams already manage a wide variety of data structural coverage, functional coverage, bug statistics etc. one of the main skills is to interpret this data and make a recommendation for a release or tape-out decision the amount and diversity of the data will increase

17 Acknowledgements and Q&A Acknowledgements Shalom Bresticker, Intel Darren Galpin, Serrie Chapman and Tim Blackmore, Infineon Questions?

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Introducing Functional Qualification

Introducing Functional Qualification Introducing Functional Qualification Certess Inc 2007 1 Table of contents Introduction _ 3 Functional verification quality 4 Mutation based testing _ 7 Certitude: Leading functional qualification 8 Bibliography

More information

Formal Hardware Verification: Theory Meets Practice

Formal Hardware Verification: Theory Meets Practice Formal Hardware Verification: Theory Meets Practice Dr. Carl Seger Senior Principal Engineer Tools, Flows and Method Group Server Division Intel Corp. June 24, 2015 1 Quiz 1 Small Numbers Order the following

More information

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY

Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Model checking in the cloud VIGYAN SINGHAL OSKI TECHNOLOGY Views are biased by Oski experience Service provider, only doing model checking Using off-the-shelf tools (Cadence, Jasper, Mentor, OneSpin Synopsys)

More information

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES

FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES FUNCTIONAL VERIFICATION: APPROACHES AND CHALLENGES A. MOLINA and O. CADENAS Computer Architecture Department, Universitat Politècnica de Catalunya, Barcelona, Spain amolina@ac.upc.edu School of System

More information

Making your ISO Flow Flawless Establishing Confidence in Verification Tools

Making your ISO Flow Flawless Establishing Confidence in Verification Tools Making your ISO 26262 Flow Flawless Establishing Confidence in Verification Tools Bryan Ramirez DVT Automotive Product Manager August 2015 What is Tool Confidence? Principle: If a tool supports any process

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Trends in Functional Verification: A 2014 Industry Study

Trends in Functional Verification: A 2014 Industry Study Trends in Functional Verification: A 2014 Industry Study Harry D. Foster Mentor Graphics Corporation Wilsonville, Or Harry_Foster@mentor.com ABSTRACT Technical publications often make either subjective

More information

Verification for test. Andy White, Nujira ltd

Verification for test. Andy White, Nujira ltd Verification for test Andy White, Nujira ltd Outline Introduction to Nujira Our design flow How DfT and VfT fits into our flow Device verification metrics Analogue verification coverage Nujira Company

More information

Verification Futures The Next 5 Years

Verification Futures The Next 5 Years Verification Futures The Next 5 Years Tuesday, 15 th November 2011 Hilton Hotel, Reading The FREE conference will look at the challenges in verification and how these will change over the next 5 years,

More information

ERAU the FAA Research CEH Tools Qualification

ERAU the FAA Research CEH Tools Qualification ERAU the FAA Research 2007-2009 CEH Tools Qualification Contract DTFACT-07-C-00010 Dr. Andrew J. Kornecki, Dr. Brian Butka Embry Riddle Aeronautical University Dr. Janusz Zalewski Florida Gulf Coast University

More information

Interested candidates, please send your resumes to and indicate the job title in subject field.

Interested candidates, please send your resumes to and indicate the job title in subject field. Senior/Test Engineer Responsible for preparing the Production Testpackages (Hardware and Software), and Qualification Testprograms Prepares test specifications and hardware (Probecard, Loadboard) design

More information

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE

RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE RESPONSIBILITY OF THE SEMICONDUCTOR DESIGN INFRASTRUCTURE C O N S U L T I N G I N E L E C T R O N I C D E S I G N Lucio Lanza gave a keynote at IC CAD 2010 that caught a lot of people s attention. In that

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

A Case Study - RF ASIC validation of a satellite transceiver

A Case Study - RF ASIC validation of a satellite transceiver A Case Study - RF ASIC validation of a satellite transceiver Maeve Colbert IC Design Engineer S3 Semiconductors WEBSITE: www.s3semi.com CONTACT: info@s3semi.com Contents Abstract...1 Planning for Validation...2

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models BMAS Conference, San Jose, CA Robert O. Peruzzi, Ph. D. September, 2006 Agenda Introduction Human Error: Finding and

More information

EDA for IC System Design, Verification, and Testing

EDA for IC System Design, Verification, and Testing EDA for IC System Design, Verification, and Testing Edited by Louis Scheffer Cadence Design Systems San Jose, California, U.S.A. Luciano Lavagno Cadence Berkeley Laboratories Berkeley, California, U.S.A.

More information

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica

- Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica Elettronica spa cerca: - Software Engineer con Laurea Magistrale in Informatica, Telecomunicazioni o Elettronica - Machine Learning Engineer con Laurea Magistrale in Informatica, Elettronica o Telecomunicazioni

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 48 Testing of VLSI Circuits So, welcome back. So far in this

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Giovanni Squillero

Giovanni Squillero Giovanni Squillero giovanni.squillero@polito.it Copyright is held by the author/owner(s). GECCO 08, July 12 16, 2008, Atlanta, Georgia, USA. ACM 978-1-60558-131-6/08/07. Giovanni Squillero giovanni.squillero@polito.it

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools

Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools 1 White paper Enabling Model-Based Design for DO-254 Compliance with MathWorks and Mentor Graphics Tools The purpose of RTCA/DO-254 (referred to herein as DO-254 ) is to provide guidance for the development

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Hardware-Software Co-Design Cosynthesis and Partitioning

Hardware-Software Co-Design Cosynthesis and Partitioning Hardware-Software Co-Design Cosynthesis and Partitioning EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

I?. %+? Behavioural Model The ASIC behavioural(beh) models were written in Verilog, were pin compatible with the RTL model and

I?. %+? Behavioural Model The ASIC behavioural(beh) models were written in Verilog, were pin compatible with the RTL model and 39.3 Functional Verification of Large ASICs Adrian Evans, Allan Silburt, Gary Vrckovnik, Thane Brown, Mario Dufresne, Geoffrey Hall, Tung Ho, Ying Liu Nortel P.O. Box 3511, Station C, Ottawa, Ontario,

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION

A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION A SURVEY OF VIRTUAL PROTOTYPING TECHNIQUES FOR SYSTEM DEVELOPMENT AND VALIDATION Shunan Mu, Guoqing Pan, Zhihao Tian and Jiancheng Feng Beijing Aerospace Measurement and Control Technology Co., LTD., Beijing,

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Trends and Challenges in Analog and Mixed-Signal- Verification

Trends and Challenges in Analog and Mixed-Signal- Verification Trends and Challenges in Analog and Mixed-Signal- Verification Dieter Haerle 17.5.2018 Trust, but verify - Ronald Reagan Agenda 1 2 3 4 5 6 7 Introduction Presenter Definitions Motivation What is Analog-Mixed-Signal

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc.

Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications. The MathWorks, Inc. Pragmatic Strategies for Adopting Model-Based Design for Embedded Applications Larry E. Kendrick, PhD The MathWorks, Inc. Senior Principle Technical Consultant Introduction What s MBD? Why do it? Make

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction

Agenda. 9:30 Registration & Coffee Networking and Sponsor Table-tops Welcome and introduction Agenda 9:30 Registration & Coffee Networking and Sponsor Table-tops 10.00 Welcome and introduction Break 12:45 Lunch Break Flexible debug and visibility techniques to enhance all FPGA design and deployment

More information

2018 ASSESS Update. Analysis, Simulation and Systems Engineering Software Strategies

2018 ASSESS Update. Analysis, Simulation and Systems Engineering Software Strategies 2018 ASSESS Update Analysis, Simulation and Systems Engineering Software Strategies The ASSESS Initiative The ASSESS Initiative was formed to bring together key players to guide and influence strategies

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

IEEE Std Implementation for a XAUI-to-Serial 10-Gbps Transceiver

IEEE Std Implementation for a XAUI-to-Serial 10-Gbps Transceiver IEEE Std 1149.6 Implementation for a XAUI-to-Serial 10-Gbps Transceiver Saghir A Shaikh Intel Corporation, San Diego, CA Abstract The design, implementation and verification of IEEE Std 1149.6 IP for a

More information

24 Challenges in Deductive Software Verification

24 Challenges in Deductive Software Verification 24 Challenges in Deductive Software Verification Reiner Hähnle 1 and Marieke Huisman 2 1 Technische Universität Darmstadt, Germany, haehnle@cs.tu-darmstadt.de 2 University of Twente, Enschede, The Netherlands,

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Power Management Semiconductors: A Preliminary Look

Power Management Semiconductors: A Preliminary Look Market Analysis Power Management Semiconductors: A Preliminary Look Abstract: As a key enabler of electronics, power management semiconductors remain fragmented. Benefit from a look into the preliminary

More information

Ted F Bowlds, PhD Candidate

Ted F Bowlds, PhD Candidate Ted F Bowlds, PhD Candidate tbowlds@gwmail.gwu.edu, 703-507-3034, abstract #18860 Department of Engineering Management and Systems Engineering School of Engineering and Applied Science The degree of obsolescence

More information

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND.

REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. December 3-6, 2018 Santa Clara Convention Center CA, USA REVOLUTIONIZING THE COMPUTING LANDSCAPE AND BEYOND. https://tmt.knect365.com/risc-v-summit @risc_v ACCELERATING INFERENCING ON THE EDGE WITH RISC-V

More information

CMOS Technology for Computer Architects

CMOS Technology for Computer Architects CMOS Technology for Computer Architects Lecture 1: Introduction Iakovos Mavroidis Giorgos Passas Manolis Katevenis FORTH-ICS (University of Crete) Course Contents Implementation of high-performance digital

More information

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations

Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Functional Verification of CSI-2 Rx-PHY using AMS Co-simulations Ratheesh Mekkadan, Advanced Micro Devices, Inc., Bangalore, India (ratheesh.mekkadan@amd.com) Abstract The physical layer of the MIPI-camera

More information

The future of formal model checking is NOW!

The future of formal model checking is NOW! The future of formal model checking is NOW! Leveraging formal methods for RAPID System On Chip verification Ram Narayan Hardware Advanced Development Oracle Labs Austin, Texas. U. S. of A. ram.narayan@oracle.com

More information

Estimation of Real Dynamic Power on Field Programmable Gate Array

Estimation of Real Dynamic Power on Field Programmable Gate Array Estimation of Real Dynamic Power on Field Programmable Gate Array CHALBI Najoua, BOUBAKER Mohamed, BEDOUI Mohamed Hedi ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis,

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, TM November 2012 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C-Ware, the Energy Efficient Solutions logo, Kinetis, mobilegt, PowerQUICC, Processor Expert, QorIQ,

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution?

Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Panel: Future SoC Verification Methodology: UVM Evolution or Revolution? Rolf Drechsler University of Bremen/DFKI Germany drechsle@informatik.uni-bremen.de Christophe Chevallaz STMicroelectronics Grenoble,

More information

Improved Model Generation of AMS Circuits for Formal Verification

Improved Model Generation of AMS Circuits for Formal Verification Improved Generation of AMS Circuits for Formal Verification Dhanashree Kulkarni, Satish Batchu, Chris Myers University of Utah Abstract Recently, formal verification has had success in rigorously checking

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Submitted in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY by P. Vani Prasad 00407006 Supervisor:

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

Industrial Experience with SPARK. Praxis Critical Systems

Industrial Experience with SPARK. Praxis Critical Systems Industrial Experience with SPARK Roderick Chapman Praxis Critical Systems Outline Introduction SHOLIS The MULTOS CA Lockheed C130J A less successful project Conclusions Introduction Most Ada people know

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

MEDEA+ and Embedded Systems

MEDEA+ and Embedded Systems MEDEA+ and Embedded Systems ARTEMIS Annual Conference 2005 Paris Σ! 2365 Jürgen Deutrich Vice Chaiman of the Board MEDEA+ Applications ARTEMIS ANNUAL CONFERENCE 2005 1. About MEDEA+ 2. MEDEA+ Projects

More information