Timing Issues in FPGA Synchronous Circuit Design

Size: px
Start display at page:

Download "Timing Issues in FPGA Synchronous Circuit Design"

Transcription

1 ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL

2 FPGA Design Flow Schematic capture HDL coding Design Entry Function Simulation Implementation Synthesis, Technology mapping placement and routing Timing Verification Download 1-2

3 Introduction to Synchronous Circuits What are synchronous circuits? In synchronous circuits, latching data in to memory elements (D flip-flops) are synchronized by a number of clocks. CLK Comb. Comb. Why synchronous design? Well developed design methodologies Easy to design, debug, and testing Currently, most ASICs are synchronous circuits 1-3

4 D Flip-Flop Timing Parameters D Q CLK CLK D t S setup time t H hold time Q t clk Q clock to Q propagation delay 1-4

5 Critical Path in Combinational Circuits Critical path Critical Path: The signal path that has the longest propagation delay Assume that each gate has the same delay d The delay of the critical path in the above circuit is 3 d 1-5

6 Determine Maximum Clock Frequency clk Comb. Comb. Logic 1 Logic 2 The delays of the critical paths in comb. 1 and comb. Logic 2 are d1 and d2, respectively. In addition, d1>d2 Minimum clock period T = t CLK Q + d1 + t S T t CLK Q d1 t S 1-6

7 Slow Combinational Logic Clock period is selected. The propagation delay of Comb. Logic 2 is too large Comb. Comb. Logic 1 Logic 2 clk T t CLK Q d1 t S Set-up time violation 1-7

8 Too Fast Combinational Logic Clock period is selected. The propagation delay of Comb. Logic 2 is too small Comb. Comb. Logic 1 Logic 2 clk T hold Old Data Old Data New Data New Data Timing to guarantee correct data latched in t CLK Q d1 Hold time violation 1-8

9 Clock Skew Due to interconnect delay, the same clock signal may switch at different time depending on the distance from the clock source. This effect is called clock skew. Comb. Comb. Logic 1 Logic 2 3ns clk1 1ns clk2 2ns CLK Propagation delay caused by interconnect clk1 clk2 clk1 clk2 t CLK Q d1 t S t CLK Q d1 ts Setup time Signal propagation Without clock skew Signal propagation With clock skew violation 1-9

10 Clock Skew Comb. Comb. Logic 1 Logic 2 1ns clk1 5ns clk2 2ns CLK Propagation delay caused by interconnect clk1 clk2 clk1 clk2 t hold t hold t CLK Q dl t CLK Q dl With clock skew Without clock skew Hold time violation 1-10

11 Techniques to Reduce Clock Skew Use global buffers to distribute clock signals to minimize clock skew. Modern FPGAs normally contain dedicated buffers (global buffers) to distribute clock signals around FPGA chips. The global buffers are connected through specially balanced routing resources to minimize clock skew. Use symbol BUFG to indicate the use of global buffers in schematic entry. Most synthesis tools can automatically use global buffers for clock signals In latest FPGAs, more sophisticated circuit techniques, such as Phase-Locked Loop (PLL), are used to minimize clock skew. 1-11

12 Timing Constraints in Synchronous Circuits Shortest path t ds Longest path t dl t sk clock To avoid setup time violation clk > Q To avoid hold time violation t + t + t T + t clk > Q dl ds setup hold clk t + t t + t sk sk 1-12

13 Techniques to Avoid Timing Violations Insert delay elements on clock path to avoid setup time violations data Comb. Logic clock Insert delay elements on data path to avoid hold time violations data Comb. Logic clock 1-13

14 Specifying Timing Constraints in ASIC Design Timing constraints are used to specify delay of circuit paths The end points of paths can be D flip-flops, Latches, Input or Output pads, and Memories Path from IPAD to Path between S Path from to OPAD IPAD FF FF OPAD IPAD IPAD OPAD Path from IPAD to OPAD 1-14

15 Period Constraint Period constraints specify delay of paths between synchronous elements that are clocked by the same clock Period constraint is also called register-to-register delay Synchronous elements include D flip-flops, latches, and synchronous Rams In the following example, the period constraint specify delay of a path between two D flip-flops Path between S IPAD FF FF OPAD IPAD IPAD OPAD The delay of the path t dl < T - t CLK Q - t S 1-15

16 Offset Constraint Offset constraints specify delays of paths: From input pads to synchronous elements. The constraints for this type paths are called as offset in constraints. For the input paths, external setup time and external hold time have to be considered From synchronous elements to output pads. The constraints for this type paths are called as offset out constraints. Offset In Constraints Offset Out Constraints IPAD FF FF OPAD IPAD 1-16

17 External Setup Time t DATA t S : setup time IPAD FF FF OPAD IPAD t CLK Worst case setup time for input occurs when input is DELAYED relative to CLK. Means clock edge arrives early, requiring input to be ready sooner. External setup time = t S + t DATA (max) t CLK (min) 1-17

18 External Hold Time t DATA t H : hold time IPAD FF FF OPAD IPAD t CLK Worst case hold time for input occurs when CLK is DELAYED relative to input. Means clock edge arrives late, requiring input to hold its value longer. External hold time = t H + t CLK (max) t DATA (min) 1-18

19 Pad-to-Pad Time Constraint IPAD FF FF OPAD IPAD IPAD Pad-to-Pad time constraint OPAD It specifies delays for paths that are from input pads to output pads Purely combinatorial delay paths do not contain any synchronous elements 1-19

20 Specifying Time Constraints in Xilinx Tools Example circuit A B O clk 1-20

21 Specifying Time Constraints in Xilinx Tools 1-21

22 Report from Static Timing Analysis Asterisk (*) preceding a constraint indicates it was not met. This may be due to a setup or hold violation Constraint Requested Actual Logic Levels TS_CLK = PERIOD TIMEGRP "CLK" 20 ns HI ns 3.568ns 1 GH % COMP "A" OFFSET = IN 10 ns BEFORE COMP " ns 1.788ns 2 CLK" * COMP "B" OFFSET = IN 1000 ps BEFORE COMP 1.000ns 1.334ns 2 "CLK" COMP "O" OFFSET = OUT 10 ns AFTER COMP " ns 9.352ns 1 CLK"

23 Flow for Achieving Timing Closure Design Entry Schematic capture HDL coding Modify circuit or HDL coding style Implementation Increase P&R effort level Timing Verification Done Yes Meet timing Constraints? No Are constraints realistic? 1-23

24 What Affect Circuit Timing Performance Environmental factors Commercial Products are expected to work in the following environment Supply voltage varies 10% Temperature from 0 to 85 C Fast Corner (circuits have small delay) Supply voltage: V DD + V DD 10%; Temperature: 0 C Slow Corner (circuits have large delay) Supply voltage: V DD -V DD 10%; Temperature: 85 C Perform timing analysis at fast corner to check hold time violations and perform timing analysis at slow corner to check setup time violations 1-24

25 What Else Affect Circuit Timing Performance Process variations NFET (fast, slow, typical) PFET (fast, slow, typical) Interconnect (fast, slow, typical) Due to process variations and other factors, including operating voltage, circuit design, etc, devices from the same family can achieve different operating speeds: Source:

26 Example on Calculating Timing Parameters Calculate timing parameters 1-26

27 Calculating Timing Parameters Maximum register to register delay U2 Tc2q + U3 Tpd + U1 Tsu= = 16 ns. External setup time Tsu + A2D Tpd max - Clk Tpd min = 3 + (8 + 1) - 2 = 10 ns External hold time Thd + Clk Tpd max - A2D Tpd min = (7 + 1) = -2 ns Clock to Out Delay U8 Tpd + U2 Tc2q + U5 Tpd + U6 Tpd = = 22 ns Pad to pad Delay U7 Tpd + U5 Tpd + U6 Tpd = = = 16 ns 1-27

28 Interface with Asynchronous Inputs Asynchronous signal FF Q1 FF Q2 CLK Synchronous circuit Asynchr. Signal 1 0 Undefined region CLK Q1 Metastable state 1 0 If the asynchr. input is in undefined region when the latches it, the output will be possibly in metastable state. The output will eventually settle to 1 or 0. However, this process must complete with a certain period. Otherwise, it will be a failure. 1-28

29 Potential Problems of Metastability Due to metastability, the same signal may be treated as having different values in different part of the circuit 1-29

30 Metastability Analysis Mean Time Between Failure: MTBF MTBF = T 0 in tr τ e f f clock Where, 1. t r is metastability resolution time, maximum time the output can remain metastable without causing synchronizer failure. 2. T 0 and τ are constants that depend on the electrical characteristics of the flip-flop. 3. f in is the frequency of the asynchronous input 4. f clock is the frequency of the sampling clock 1-30

31 Increasing MTBF Mean Time Between Failure: MTBF Asynchronous signal D Q D Q Synchronizer Synchronous circuit CLK The use of synchronizer can significantly reduce Main-Time-Between-Failure (MTBF) 1-31

32 ASIC with Multiple Clock Domains A group of circuits that are clocked by the same signal is referred to as a clock domain Clock domain 1 ADATA FLOP D Q FLOP D Q FLOP D Q OUT1 CLK1 BUFG FLOP Clock domain 2 FLOP BUS [7..0] D Q D Q OUT2 CLK2 CDATA = Combinatorial Logic 1-32

33 Communication Between Different Clock Domains Methods for different clock domain communication Using synchronizer Using FIFO Using handshaking protocols Synchronizer clk2 Clock domain 1 Clock domain 2 FIFO Handshaking 1-33

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 2018 Timing Analysis Lecture 11: 1 Announcements Lab report guidelines are uploaded on CMS As part of the assignment for Lab 3 report Lab 4(A) prelab

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design : Conventions, Problems, Solutions Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

Static Timing Overview with intro to FPGAs. Prof. MacDonald

Static Timing Overview with intro to FPGAs. Prof. MacDonald Static Timing Overview with intro to FPGAs Prof. MacDonald Static Timing In the 70 s timing was performed with Spice simulation In the 80 s timing was included in Verilog simulation to determine if design

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

Metastability. 1

Metastability. 1 Metastability talarico@gonzaga.edu 1 Asynchronous Inputs Synchronous circuits can have asynchronous inputs Even a supposedly synchronous circuit like the D flip flop can have asynchronous inputs such as

More information

Course Summary. 3213: Digital Systems & Microprocessors: L#14_15

Course Summary. 3213: Digital Systems & Microprocessors: L#14_15 Course Summary 1. Course overview 2. Intro to PICOBLAZE, C and Number systems and Boolean Algebra 3. Course overview with microprocessor MU0 (I) 4. Course overview with microprocessor MU0 (II) 5. Verilog

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

We ve looked at timing issues in combinational logic Let s now examine timing issues we must deal with in sequential circuits

We ve looked at timing issues in combinational logic Let s now examine timing issues we must deal with in sequential circuits Basic Timing Issues We ve looked at timing issues in combinational logic Let s now examine timing issues we must deal with in sequential circuits The fundamental timing issues we considered then apply

More information

SIMMAT A Metastability Analysis Tool

SIMMAT A Metastability Analysis Tool SIMMAT A Metastability Analysis Tool Simulation waveforms voltage d q Ian W. Jones and Suwen Yang, Oracle Labs, Mark Greenstreet, University of British Columbia clk time (ns) 1 November 2012 1 Outline

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

64-Macrocell MAX EPLD

64-Macrocell MAX EPLD 43B CY7C343B Features 64 MAX macrocells in 4 LABs 8 dedicated inputs, 24 bidirectional pins Programmable interconnect array Advanced 0.65-micron CMOS technology to increase performance Available in 44-pin

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems.

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems. 1 In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems. The important concepts are related to setup and hold times of registers

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

A HIGH PERFORMANCE LOW POWER MESOCHRONOUS PIPELINE ARCHITECTURE FOR COMPUTER SYSTEMS

A HIGH PERFORMANCE LOW POWER MESOCHRONOUS PIPELINE ARCHITECTURE FOR COMPUTER SYSTEMS A HIGH PERFORMANCE LOW POWER MESOCHRONOUS PIPELINE ARCHITECTURE FOR COMPUTER SYSTEMS By SURYANARAYANA BHIMESHWARA TATAPUDI A dissertation submitted in partial fulfillment of the requirements for the degree

More information

Design of a Restartable Clock Generator for Use in GALS SoCs

Design of a Restartable Clock Generator for Use in GALS SoCs Design of a Restartable Clock Generator for Use in GALS SoCs Masters Thesis Defense Hu Wang August 6, 2008 IC Design and Research Laboratory Design Team Southern Illinois University Edwardsville Dr. George

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect Programmable Interconnect CPE/EE 428, CPE 528: Session #13 Department of Electrical and Computer Engineering University of Alabama in Huntsville In addition to programmable cells, programmable ASICs must

More information

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration.

ICS2510C. 3.3V Phase-Lock Loop Clock Driver. Integrated Circuit Systems, Inc. General Description. Pin Configuration. Integrated Circuit Systems, Inc. ICS250C 3.3V Phase-Lock Loop Clock Driver General Description The ICS250C is a high performance, low skew, low jitter clock driver. It uses a phase lock loop (PLL) technology

More information

R Using the Virtex Delay-Locked Loop

R Using the Virtex Delay-Locked Loop Application Note: Virtex Series XAPP132 (v2.4) December 20, 2001 Summary The Virtex FPGA series offers up to eight fully digital dedicated on-chip Delay-Locked Loop (DLL) circuits providing zero propagation

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

VLSI Design: Challenges and Promise

VLSI Design: Challenges and Promise VLSI Design: Challenges and Promise An Overview Dinesh Sharma Electronic Systems, EE Department IIT Bombay, Mumbai September 11, 2015 Impact of Microelectronics Microelectronics has transformed life styles

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE380 Digital Logic Implementation Technology: Standard Chips and Programmable Logic Devices Dr. D. J. Jackson Lecture 10-1 Standard chips A number of chips, each with a few logic gates, are commonly

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Limits of Synchonous Design by A. Steininger and M. Delvai Vienna University of Technology recall Previous Conclusion The purpose of a design style is to provide information for

More information

Basic Logic Circuits

Basic Logic Circuits Basic Logic Circuits Required knowledge Measurement of static characteristics of nonlinear circuits. Measurement of current consumption. Measurement of dynamic properties of electrical circuits. Definitions

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

74F50729 Synchronizing dual D-type flip-flop with edge-triggered set and reset with metastable immune characteristics

74F50729 Synchronizing dual D-type flip-flop with edge-triggered set and reset with metastable immune characteristics INTEGRATED CIRCUITS Synchronizing dual D-type flip-flop with edge-triggered set and reset with metastable immune characteristics 1990 Sep 14 IC15 Data Handbook FEATURES Metastable immune characteristics

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

VLSI Design 11. Sequential Elements

VLSI Design 11. Sequential Elements VLSI esign Last module: Wire resistance and capacitance RC delay Wire gineering This module Floorplanning (basic physical design determines wires) Sequtial circuit design Clock skew Floorplan How do you

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs

logic system Outputs The addition of feedback means that the state of the circuit may change with time; it is sequential. logic system Outputs Sequential Logic The combinational logic circuits we ve looked at so far, whether they be simple gates or more complex circuits have clearly separated inputs and outputs. A change in the input produces

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit Outline EES 5 - omponents and esign Techniques for igital Systems Lec 2 - Timing avid uller Electrical Engineering and omputer Sciences University of alifornia, erkeley Performance Limits of Synchronous

More information

74F5074 Synchronizing dual D-type flip-flop/clock driver

74F5074 Synchronizing dual D-type flip-flop/clock driver INTEGRATED CIRCUITS Synchronizing dual D-type flip-flop/clock driver 1990 Sep 14 IC15 Data Handbook FEATURES Metastable immune characteristics Output skew guaranteed less than 1.5ns High source current

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

CSE241 VLSI Digital Circuits Winter Lecture 06: Timing

CSE241 VLSI Digital Circuits Winter Lecture 06: Timing CSE241 VLSI Digital Circuits Winter 2003 Lecture 06: Timing CSE241 L3 ASICs.1 Kahng & Cichy, UCSD 2003 This Class + Logistics Timing Flip-flop timing Clock distribution Clock tree synthesis Reading: White

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

An Optimized Design for Parallel MAC based on Radix-4 MBA

An Optimized Design for Parallel MAC based on Radix-4 MBA An Optimized Design for Parallel MAC based on Radix-4 MBA R.M.N.M.Varaprasad, M.Satyanarayana Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India Abstract In this paper a novel architecture

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

Machine Learning for Next Generation EDA. Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs

Machine Learning for Next Generation EDA. Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs Machine Learning for Next Generation EDA Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs Outline Introduction Vision Surrogate Modeling Applying Machine

More information

University of Toronto Faculty of Applied Science and Engineering. Digital Electronics. Winter Final Exam. Instructor: R.

University of Toronto Faculty of Applied Science and Engineering. Digital Electronics. Winter Final Exam. Instructor: R. University of Toronto Faculty of Applied Science and Engineering ECE 334 - Digital Electronics Winter 2017 Final Exam Instructor: R. Genov Duration: 150 minutes Closed book; A hand-written 8.5"xl1"one-page

More information

XC2C32 CoolRunner-II CPLD

XC2C32 CoolRunner-II CPLD 0 XC2C32 Coolunner-II CPLD DS091 (v1.4) January 27, 2003 0 0 Advance Product Specification Features Optimized for 1.8V systems - As fast as 3.5 ns pin-to-pin logic delays - As low as 14 µa quiescent current

More information

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol ISSN 1346-5597 NII Technical Report Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol Chammika Mannakkara and Tomohiro Yoneda NII-2008-009E Sept. 2008 1 PAPER Asynchronous Pipeline

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

XC3000A Field Programmable Gate Arrays. Features. Description

XC3000A Field Programmable Gate Arrays. Features. Description XC3000A Field Programmable Gate Arrays June 1, 1996 (Version 1.0) Product Specification Features Enhanced, high performance F family with five device types - Improved redesign of the basic XC3000 F family

More information

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach

Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using BIST Approach Technology Volume 1, Issue 1, July-September, 2013, pp. 41-46, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 Wave Pipelined Circuit with Self Tuning for Clock Skew and Clock Period Using

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

FILTER_0/Prog. Delay Combination Function Macrocells Pin 3 GPIO RC Oscillator. 2-bit LUT2_0 or DFF0. 3bit LUT3_0 or DFF2

FILTER_0/Prog. Delay Combination Function Macrocells Pin 3 GPIO RC Oscillator. 2-bit LUT2_0 or DFF0. 3bit LUT3_0 or DFF2 GreenPAK Ultra-small Programmable Mixed-signal Matrix Features Pin Configuration Logic & Mixed Signal Circuits Highly Versatile Macro Cells 1.8 V (±5%) to 5 V (±10%) Supply Operating Temperature Range:

More information

64/256/512/1K/2K/4K/8K x 9 Synchronous FIFOs

64/256/512/1K/2K/4K/8K x 9 Synchronous FIFOs 241/42 fax id: 549 CY7C4421/421/4211/4221 64/256/512/1K/2K/4K/8K x 9 Synchronous FIFOs Features High-speed, low-power, first-in, first-out (FIFO) memories 64 x 9 (CY7C4421) 256 x 9 (CY7C421) 512 x 9 (CY7C4211)

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Implementation of digital it frequency dividersid PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Why frequency divider? Motivation widely used in daily life Time counting (electronic clocks, traffic lights,

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Gomoku Player Design

Gomoku Player Design Gomoku Player Design CE126 Advanced Logic Design, winter 2002 University of California, Santa Cruz Max Baker (max@warped.org) Saar Drimer (saardrimer@hotmail.com) 0. Introduction... 3 0.0 The Problem...

More information