Sticks Diagram & Layout. Part II

Size: px
Start display at page:

Download "Sticks Diagram & Layout. Part II"

Transcription

1 Sticks Diagram & Layout Part II

2 Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped well and substrate (body) contacts / taps GND Y V DD p+ n+ n+ p+ p+ n+ p substrate n well substrate tap well tap

3 Inverter Mask Set Transistors and wires are defined by masks Cross-section taken along dashed line Y GND V DD substrate tap nmos transistor pmos transistor well tap

4 Inverter GND Y V DD V DD M 2 In Out p+ n+ n+ p+ p+ n+ p substrate n well M 1 substrate tap well tap V DD Y Out GND V DD substrate tap nmos transistor pmos transistor well tap GND In

5 CMOS Inverter Layout GND In V DD Out (a) Layout

6 Transistor layout n-type (tubs may vary): L w

7 Example: Inverter

8 Example: NND3 Horizontal N-diffusion and p-diffusion strips Vertical polysilicon gates Metal1 V DD rail at top Metal1 GND rail at bottom 32 λ by 40 λ

9 Example: O3I Sketch a stick diagram for O3I and estimate area Y = ( + B+ C) D

10 Wires and Vias Creating wires (review): Deposit insulator on chip (SiO 2 ) Deposit conducting material on chip Selectively remove using photolithography Use multiple layers so wires can cross over each other Vias (Contacts) - Connect between layers cuts etched through insulator Metal connects between layers (with significant resistance) Wafer

11 Wires and vias metal 3 metal 2 metal 1 vias poly poly n+ p-tub n+

12 Example Problems - Parasitic Calculation (1/10) metal1 30λ 1λ=0.25µm poly ndiff Rmetal1=? Cmetal1=? Rpoly=? Cpoly=? Rndiff=? Cndiff=? Note: see Table 2-4, p. 80 for parameters

13 Example Problems - Parasitic Calculation (6/10) 1λ=0.25µm What are the parasitic capacitances visible from point? Cpoly Cgate Coverhang

14 Stick diagrams (1/3) stick diagram is a cartoon of a layout. Does show all components/vias (except possibly tub ties), relative placement. Does not show exact placement, transistor sizes, wire lengths, wire widths, tub boundaries.

15 Stick Diagrams (2/3) Key idea: "Stick figure cartoon" of a layout Useful for planning layout relative placement of transistors assignment of signals to layers connections between cells cell hierarchy

16 Stick Diagrams (3/3) Layers Metal (BLUE) Polysilicion (RED ) N-Diffusion (Green) P-Diffusion (Brown) Contact / Via Connection Rules poly n-diff p-diff metal poly S N P NC n-diff S X NC p-diff metal S NC S

17 Example - Stick Diagrams (1/2) B lternatives - Pull-up Network B Circuit Diagram. Pull-Down Network (The easy part!) Complete Stick Diagram

18 Example - Stick Diagrams (2/2) In Out B Out Gnd Gnd Inverter NND Gate

19 Dynamic latch stick diagram VDD in out VSS phi phi

20 Stick Diagram XOR Gate Examples B B B B Out B B B B Out B Gnd B Exclusive OR Gate

21 Hierarchical Stick Diagrams Define cells by outlines & use in a hierarchy to build more complex cells B Out B NND Out Gnd Gnd Gnd Gnd NND Cell Stick Diagram NND Cell Outline

22 Cell Connection Schemes External connection - wire cells together butment - design cells to connect when adjacent Reflection, mirroring - use to make abutment possible

23 Example: 2-input multiplexer First cut: S B S OUT = *S + B*S OUT S B S Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out

24 Sticks design of multiplexer Start with NND gate: + out b a

25 NND sticks VDD a out b VSS

26 Refined one-bit Mux Design Use NND cell as black box rrange easy power connections Vertical connections for allow multiple bits select select B Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out

27 3-bit mux sticks select select VDD a a 2 i m2(one-bit-mux) o i o 2 VSS b 2 select select b i a 1 b 1 a i b i select select VDD m2(one-bit-mux) o i VSS o1 a 0 b 0 a i b i select select VDD m2(one-bit-mux) o i VSS o0

28 Multiple-Bit Mux select select NND B Gnd Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out 0 0 Gnd Out0 NND B Gnd Gnd Out NND B Gnd Gnd Out NND B Gnd Gnd Out 1 B1 Gnd Out1

29 Cell Mirroring, Overlap Use mirroring, overlap to save area 0 B0 Gnd NND B Gnd Out Gnd NND B Gnd Out Gnd NND B Gnd Out Gnd B1 1

30 Example: Layout / Stick Diagram Create a layout for a NND gate given constraints: Use minimum-size transistors ssume power supply lines pass through cell from left to right at top and bottom of cell ssume inputs are on left side of cell ssume output is on right side of cell Optimize cell to minimize width Optimize cell to minimize overall area

31 Layout Example!! B OUT B B Gnd! Gnd! Circuit Diagram. Exterior of Cell

32 Example - Magic Layout Overall Layout: 52 X 16

33 Review - VLSI Levels of bstraction Specification (what the chip does, inputs/outputs) rchitecture major resources, connections Register-Transfer logic blocks, FSMs, connections Logic gates, flip-flops, latches, connections Circuit transistors, parasitics, connections You are Here Layout mask layers, polygons

34 Levels of bstraction - Perspective Right now, we re focusing on the low level : Circuit level - transistors, wires, parasitics Layout level - mask objects We ll work upward to higher levels: Logic level - individual gates, latches, flipflops Register- transfer level - Verilog HDL Behavior level - Specifications

35 The Challenge of Design Start: higher level (spec) Finish: lower level (implementation) Must meet design criteria and constraints Design time - how long did it take to ship a product? Performance - how fast is the clock? Cost - NRE + unit cost CD tools - essential in modern design

36 CD Tool Survey: Layout Layout Editors Design Design Rule Checkers (DRC) Circuit Extractors Layout vs. Schematic (LVS) Comparators utomatic Layout Tools Layout Generators SIC: Place/Route for Standard Cells, Gate rrays

37 Layout Editors Goal: produce mask patterns for fabrication Grid type: bsolute grid (MX, LSI, LEdit, Mentor ICStation, other commercial tools) Magic: lambda-based grid - easier to learn, but less powerful Mask description: bsolute mask (one layer for each mask) Magic: symbolic masks (layers combine to generate actual mask patterns)

38 Design Rule Checkers Goal: identify design rule violations Often a separate tool (built in to Magic) General approach: scanline algorithm Computationally intensive, especially for large chips

39 Circuit Extractors Goal: extract netlist of equivalent circuit Identify active components Identify parasitic components Capacitors Resistors

40 Layout Versus Schematic (LVS) Goal: Compare layout, schematic netlists Compare transistors, connections (ignore parasitics) Issue error if two netlists are not equivalent Important for large designs

41 Design Rules Have to be respected when a given design is laid out. Represent the physical limits of the manufacturing process. Width, spacing, overlap, surround, extension. detailed and up-to-date sets of design rules.

42 Design Rules Checker, DRC Is a program. Checks that all polygons and layers from the layout database meet all of the manufacturing process rules, i.e. no violation of the design rules. Checks for minimum spacing and minimum size, and ensures that combinations of layers form legal components.

43 DRC Pass Indicates that: The design can be fabricated within the limitations of the manufacturing process. The device fabricated can function.

44 What happens if You ignore the DRC violations indicated to you? You use bigger dimensions than the minimum stated by the Design Rules?

45 ssignment Read all the chapters we have covered. Do all the related questions in the textbook. What is GDSII and CIF?

46 For Lab Print out the DRC rules and take it along with you to lab. Make sure you pass the DRC and LVS!!

47 LVS, ERC, LPE, Tape-Out and Chapter 3 Tuesday, Jan. 20th

48 Review MOSIS TSMC

49 Layout Verification Tools DRC LVS ERC LPE

50 DRC What can you recall?

51 LVS Layout Versus Schematic. nother CD tool in the design environment.

52 LVS To check that the design is connected correctly. The schematic = Reference circuit. Either logic or electronic schematic. The layout is checked against the schematic.

53 What is checked in LVS? Electrical connectivity of all signals. Input Output Power signals. Device sizes. Transistor width and length. Resistor sizes. Capacitor sizes.

54 What is checked in LVS? Identification of extra components and signals that have not been included in the schematic. Floating nodes.

55 ERC, Electrical Rules Check subset of the LVS check. Useful to accelerate debugging, e.g. V DD to V SS short-circuit.

56 ERC The electrical rules checked are limited to errors in connectivity or device connection, e.g. Unconnected, or partly connected, or extra devices. Disabled transistors. Floating nodes. Short circuits. Special checks.

57 GDSII Data transfer issue. binary database format that enables data to be exchanged within different ED/CD tools, e.g. export data from Mentor to Cadence. Developed by Calma on Data General machines. Other alternatives: CIF, LEF, DEF

58 GDSII Mask shop requires GDSII file type. Database is translated from the design layers that were used and verified into the mask shop layers. Then, verified as the final golden verification. few structures are added for the processing needs; that won t pass DRC or LVS verfications.

59 LPE Layout Parasitic Extraction

60 Tape-out Procedures To generate a tape for PG. The GDSII files are transferred to the fabrication group on a magnetic tape. Steps discussed, as well as specific documentations and release procedures.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General Where are we? Lots of Layout issues Line of diffusion style Power pitch it-slice pitch Routing strategies Transistor sizing Wire sizing Layout - Line of Diffusion Very common layout method Start with a

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1 EE 330 Lecture 7 Design Rules IC Fabrication Technology Part 1 Review from Last Time Technology Files Provide Information About Process Process Flow (Fabrication Technology) Model Parameters Design Rules

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

EE 330 Lecture 7. Design Rules

EE 330 Lecture 7. Design Rules EE 330 Lecture 7 Design Rules Last time: Response time of logic gates A Y C L t R C HL SWn L t R C LH SWp L C L proportional to #gates driven to avg input cap of gates R SW proportional length/width Last

More information

CS/ECE 5710/6710. Composite Layout

CS/ECE 5710/6710. Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Composite Layout Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Fundamentals of Integrated Circuit Design

Fundamentals of Integrated Circuit Design 1. Definitions Integrated circuits Fundamentals of Integrated Circuit Design An integrated circuit (IC) is formed by components and interconnections that are fabricated on a single silicon piece of semiconductor,

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Review: CMOS Logic Gates

Review: CMOS Logic Gates Review: CMOS Logic Gates INV Schematic NOR Schematic NAND Schematic + Vsg - pmos x x Vin Vout = Vin y + Vgs - nmos CMOS inverts functions CMOS Combinational Logic x g(x,y) = x + y use DeMorgan relations

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Chapter 3 CMOS processing technology (II)

Chapter 3 CMOS processing technology (II) Chapter 3 CMOS processing technology (II) Twin-tub CMOS process 1. Provide separate optimization of the n-type and p-type transistors 2. Make it possible to optimize "Vt", "Body effect", and the "Gain"

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Introduction to Full-Custom Circuit Design with HSPICE and Laker

Introduction to Full-Custom Circuit Design with HSPICE and Laker Introduction to VLSI and SOC Design Introduction to Full-Custom Circuit Design with HSPICE and Laker Course Instructor: Prof. Lan-Da Van T.A.: Tsung-Che Lu Department of Computer Science National Chiao

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

Design Rules, Technology File, DRC / LVS

Design Rules, Technology File, DRC / LVS Design Rules, Technology File, DRC / LVS Prof. Dr. Peter Fischer VLSI Design: Design Rules P. Fischer, TI, Uni Mannheim, Seite 1 DESIGN RULES Rules in one Layer Caused by manufacturing limits (lithography,

More information

Lecture 0: Introduction

Lecture 0: Introduction Introduction to CMOS VLSI Design Lecture : Introduction David Harris Steven Levitan Harvey Mudd College University of Pittsburgh Spring 24 Fall 28 Administrivia Professor Steven Levitan TA: Bo Zhao Syllabus

More information

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1 CMOS Transistor and Circuits Jan 2015 CMOS Transistor 1 Latchup in CMOS Circuits Jan 2015 CMOS Transistor 2 Parasitic bipolar transistors are formed by substrate and source / drain devices Latchup occurs

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

due to power supply and technology. Process specifications were obtained from the MOSIS

due to power supply and technology. Process specifications were obtained from the MOSIS design number 85739 VLSI Design Chromatic Instrument Tuner For the design of the operational amplifier, we have to take into consideration the constraints due to power supply and technology. Process specifications

More information

Adder Design and Analysis

Adder Design and Analysis Adder Design and Analysis University of Washington EE 477 Zach Pritchett and Cody Hogan Winter 2012 Total Area 8.69 µm 2 Worst Case Delay ns FOM x 10 - Table of Contents 1 Introduction... 2 2 Design...

More information

First Optional Homework Problem Set for Engineering 1630, Fall 2014

First Optional Homework Problem Set for Engineering 1630, Fall 2014 First Optional Homework Problem Set for Engineering 1630, Fall 014 1. Using a K-map, minimize the expression: OUT CD CD CD CD CD CD How many non-essential primes are there in the K-map? How many included

More information

UNIVERSITY OF CINCINNATI

UNIVERSITY OF CINCINNATI UNIVERSITY OF CINCINNATI Date: September 30,2005 I, Rahul Madhusudanan, hereby submit this work as part of the requirements for the degree of: M.S. in: Electrical Engineering It is entitled: Development

More information

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 1.What are four generations of Integration Circuits? _ SSI (Small Scale Integration) _ MSI (Medium Scale Integration) _ LSI (Large Scale Integration)

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V)

30 ma flash LDO voltage regulator (output voltage 1.8 ± 0.2 V) SPECIFICATION 1 FEATURES Global Foundries CMOS 55 nm Low drop out Low current consumption Two modes operations: Normal, Economy Mode operation Bypass No discrete filtering capacitors required (cap-less

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology 43 Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology Fazal Noorbasha 1, Ashish Verma 1 and A.M. Mahajan 2 1. Laboratory of VLSI and Embedded Systems, Deptt. Of Physics

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

ROUTING Global Routing

ROUTING Global Routing ASICs...THE COURSE ( WEEK) ROUTING 7 Key terms and concepts: Routing is usually split into global routing followed by detailed routing. Suppose the ASIC is North America and some travelers in California

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Introduction to VLSI design using Cadence Electronic Design Automation Tools

Introduction to VLSI design using Cadence Electronic Design Automation Tools Bangladesh University of Engineering & Technology Department of Electrical & Electronic Engineering Introduction to VLSI design using Cadence Electronic Design Automation Tools Laboratory Module 4: Layout

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Circuits in CMOS VLSI. Darshana Sankhe

Circuits in CMOS VLSI. Darshana Sankhe Circuits in CMOS VLSI Darshana Sankhe Static CMOS Advantages: Static (robust) operation, low power, scalable with technology. Disadvantages: Large size: An N input gate requires 2N transistors. Large capacitance:

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

VLSI Design with Electric

VLSI Design with Electric 1. Introduction VLSI Design with Electric A Tutorial By David Harris Harvey Mudd College July 19, 2001 The Information Age is made possible by the incredible ability to pack vast numbers of circuits onto

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

Basic Layout Techniques

Basic Layout Techniques Basic Layout Techniques Rahul Shukla Advisor: Jaime Ramirez-Angulo Spring 2005 Mixed Signal VLSI Lab Klipsch School of Electrical and Computer Engineering New Mexico State University Outline Transistor

More information

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 Part 1: This part of the project is to lay out a bandgap. We previously built our bandgap in HW #13 which supplied a constant

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

MOSFETS: Gain & non-linearity

MOSFETS: Gain & non-linearity MOFET: ain & non-linearity source gate Polysilicon wire Heavily doped (n-type or p-type) diffusions W Inter-layer io 2 insulation Very thin (

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

EE140: Lab 5, Project Week 2

EE140: Lab 5, Project Week 2 Introduction EE140: Lab 5, Project Week 2 VGA Op-amp Group Presentations: 4/13 and 4/14 in Lab Slide Submission: 4/15/17 (9 am) For this lab, you will be developing the background and circuits that you

More information

Schematic and Layout Simulation Exercise

Schematic and Layout Simulation Exercise University of California, Berkeley EE141 Fall 2009 Laboratory Exercise 4 Schematic and Layout Simulation Exercise The objective of this laboratory exercise is to walk you through the process of simulating

More information

MHz phase-locked loop

MHz phase-locked loop SPECIFICATION 1 FEATURES 50 800 MHz phase-locked loop TSMC CMOS 65 nm Output frequency from 50 to 800 MHz Reference frequency from 4 to 30 MHz Power supply 1.2 V CMOS output Supported foundries: TSMC,

More information

EE140: Lab 5, Project Week 2

EE140: Lab 5, Project Week 2 EE140: Lab 5, Project Week 2 VGA Op-amp Introduction For this lab, you will be developing the background and circuits that you will need to get your final project to work. You should do this with your

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 40 BICMOS technology So, today we are going to have the last class on this VLSI

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

CMOS 65nm Process Monitor

CMOS 65nm Process Monitor CMOS 65nm Process Monitor Final Report Fall Semester 2008 Prepared to partially fulfill the requirements for ECE401 Department of Electrical and Computer Engineering Colorado State University Fort Collins,

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי Design מבוא לתכנון VLSI ספרתי Extraction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel Slide 1 Extraction Extraction is a process of creating electrical representation (R&C)

More information

Introduction to Computer Engineering EECS 203 dickrp/eecs203/ Grading scheme. Review.

Introduction to Computer Engineering EECS 203  dickrp/eecs203/ Grading scheme. Review. Introduction to Computer Engineering EECS 203 http://ziyang.eecs.northwestern.edu/ dickrp/eecs203/ Grading scheme Instructor: Robert Dick Office: 77 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298

More information

VLSI Design. Static CMOS Logic

VLSI Design. Static CMOS Logic VLSI esign Static MOS Logic [dapted from Rabaey s igital Integrated ircuits, 2002, J. Rabaey et al.] EE4121 Static MOS Logic.1 ZLM Review: MOS Process at a Glance efine active areas Etch and fill trenches

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 VLSI COMP375 Computer Architecture Middleware other CS classes Machine Language Microcode Logic circuits Transistors Middleware Machine Language - earlier Microcode Logic circuits Transistors Middleware

More information