Design of digital cmos circuits by Using Standard Cell Library for high performance

Size: px
Start display at page:

Download "Design of digital cmos circuits by Using Standard Cell Library for high performance"

Transcription

1 ISSN: All Rights Reserved 2014 IJARCET 3564 International Journal of Advanced Research in Computer Engineering & (IJARCET) Design of digital cmos circuits by Using Standard Cell Library for high performance Mr.P.Balaramudu, Mr.Manoj Kumar, Mr.Chape L.M., Mr.Wankhade S.S., Mr.Phalke Ulhas Abstract: - IC development is nowadays a huge industry. There is an almost innate amount of consumer products like mobile phones, processors, televisions, cameras, refrigerators, ovens and cars that in one way or another uses custom IC components. Integrated circuits can provide anything from analog-to-digital conversion to digital filtering and much more. A digital integrated circuit can be manufactured with a number of different approaches, but they all contain the same basic steps. It all starts with transistors, wiring and all the things that make up the circuit being placed in a layout, designed in a CAD (Computer Aided Design) tool and ends up with that layout being physically created on a chip. The way to create these layout dyers depending on design requirements. Standard cell library contains a collection of components that are standardized at the logic or functional level, and consists of cells or macro-cells based on the unique layout. The economic and efficient accomplishment of an IC design depends heavily upon the choice of the library. Therefore, it is important to build library that fulfills the design requirement. A library of logic cells is the set of building blocks for the ASIC design flow. The library is typically called a standard cell library because of its common interface implementation and regular structure. The library provides the functional building blocks used for synthesis and a layout representation of the cells for place-and-route. It is very important to note that the process of HDL synthesis limits the choice of logic cells to those that are found in the library provided. This guarantees that a physical or layout representation of the cells exists when the design is implemented using place and route tools. One way to understand the required layout characteristics of standard cells is to understand their history and the reasons behind their development. Index Terms CAD, ASIC, HDL, Libraries. I. INTRODUCTION Advances in the typical manufacturing process included increasing the number of routing layers from one to two or three metal layers. This added further complexity to the full-custom layout design process for optimal results..even in a full-custom design flow, the placement of more than 20 cells is easier when the building-block cells are implemented with standards. The standardization of cell interfaces is a concept that is implemented in a library. Today the standard cell is the foundation of ASIC design [1]. There are companies whose sole business is the design and migration of libraries into different manufacturing processes. Various EDA [2] vendors provide circuit and physical design tools specifically for libraries as Well. Initially, a circuit is partitioned into several smaller blocks, each of which is equivalent to some predefined function. Within each logic block; cells are implemented from a set of library cells. In general, the library is much smaller than a commercial ASIC library, but the methodology is the same. II.OBJECTIVE OF THE SYSTEM The objective of this project is to Design and implement High Performance Standard Cell Library according to the specifications and to perform transient analysis on the implemented designs. Finally to compare there-layout and post layout results of the cells designed at different process. As explained before, an efficient implementation of a Semi-Custom Design [3] depends on the Cells in the library. Standard Cell Libraries often provide 300 or even 500 Cells. It appears obvious that the design automation tool could do a better job if the number of cells is large. But in Newer libraries, the number of functions as well as the number of layouts are reduced. Thesis because recent experiments demonstrate that with fewer Cells, the design Automation tool is more efficient as it has a limited set of well-chosen cells to synthesize. With a fewer number of cells, the automation tool can Easily produce an optimized result, without getting lost in some optimization loops due to large number of cells. III.COST OF A SEMICONDUCTOR DEVICE The cost of a semiconductor conductor device is the sum of two components Recurring Expense and Non-Recurring Expense (NRE). The expenses that are incurred per design are called Recurring Expenses. This includes silicon processing, packaging, test, etc. But the Non-Recurring Expenses are the expenses that are incurred only once for a design. This includes design time and effort, CAD tools [4], masks, etc. For the last few years, the NRE has been increasing unimaginably. It is estimated that a 70-nanometer Semi-Custom Design will have a four million dollar NRE. As a Result of this, the companies that can afford sub-micron Semi-Custom Design will get pretty exclusive. The graph in Fig.1 projects the NRE cost for the current/future submicron technologies.

2 International Journal of Advanced Research in Computer Engineering & (IJARCET) physical (mask layout) design of CMOS logic gates is an iterative process which starts with the circuit topology and the initial sizing of the transistors. It is extremely important that the layout design must not violate of the layout Design Rules, in order to ensure a high probability of defect free fabrication of all features described in the mask layout. The design flow is shown in fig.2. Fig.1: cost variation with process geometry Workstation tool cost includes the tool licenses, plus the computing hardware, network and IT support, and internal CAD tool integration expenses. One way to significantly reduce the NRE is to utilize open source CAD tools. While these tools are generally considered to be inferior to commercially available tools, the quality and capabilities of open source tools has been steadily improving over the last 5 years. Unfortunately, the quality and availability of public domain Standard Cell Libraries [5] has not kept pace with the development of design tools. This thesis is an attempt to address the growing need for Standard Cell Libraries that are intended for use with open source IC design tools. The Standard Cell Library developed in this thesis using open source software requires further verification, like fabricating the circuits into silicon and testing, before the Cells can be used for a particular Semi Custom Design. The development process initiated in this thesis is the first step in addressing the issue discussed above. IV.SIMULATION After the transistor level description of a circuit is completed using the Schematic Editor, the electrical performance and the functionality of the circuit must be verified using a Simulation tool. Here we have designed the various gates that are their schematic, layout and simulation is done by using cadence software [6]. The detailed transistor-level simulation of your design will be the first in-depth validation of its operation; hence, it is extremely important to complete this step before proceeding with the subsequent design optimization steps. Based on simulation results, the designer usually modifies some of the device properties (such as transistor width to length ratio) in order to optimize the performance. The initial simulation phase also serves to detect some of the design errors that may have been created during the schematic entry step. It is quite common to discover errors such as a missing connection or an unintended crossing of two signals in the schematic. The second simulation phase follows the extraction of a mask layout (post layout simulation), to accurately assess the electrical performance of the completed design. The creation of the mask layout is one of the most important steps in the full-custom (bottom-up) design flow, where the designer describes the detailed geometries and the relative positioning of each mask layer to be used in actual fabrication, using a Layout Editor. Physical layout design is very tightly linked to overall circuit performance (area, speed and power dissipation) since the physical structure determines the Trans conductance of the transistors, the parasitic capacitances and resistances, and obviously, the silicon area which is used to realize a certain function. On the other hand, the detailed mask layout of logic gates requires a very intensive and time-consuming design effort. The Fig.2: Design flow A) Drc, Design Rule Check: The created mask layout must conform to a complex set of design rules, in order to ensure a lower probability of fabrication defects. A tool built into the Layout Editor, called Design Rule Checker [7] is used to detect any design rule violations during and after the mask layout design. The detected errors are displayed on the layout editor window as error markers, and the corresponding rule is also displayed in a separate window. The designer must perform DRC (in a large design, DRC is usually performed frequently before the entire design is completed), and make sure that all layout errors are eventually removed from the mask layout, before the final design is saved. Extraction: Circuit extraction is performed after the mask layout design is completed, in order to create detailed net-list (or circuit description) for the simulation tool. The circuit extractor is capable of identifying the individual transistors and their interconnections (on various layers), as well as the parasitic resistances and capacitances that are inevitably present between these layers. Thus, the extracted net-list can provide a very accurate estimation of the actual device dimensions and device parasitic that ultimately determine the circuit performance. The extracted net-list and parameters are subsequently used in Layout-versus-Schematic comparison and in detailed transistor- level simulations (post-layout simulation). B) LVS, Layout versus Schematic Check After the mask layout design of the circuit is completed, the design should be checked against the schematic circuit description created earlier. The designs called Layout versus Schematic (LVS) [8] Check will compare the original network with the one extracted from the mask layout, and prove that the two networks are indeed equivalent. The LVS step provides an additional level of confidence for the integrity of the design, and ensures that the mask layout is a ISSN: All Rights Reserved 2014 IJARCET 3565

3 ISSN: All Rights Reserved 2014 IJARCET 3566 International Journal of Advanced Research in Computer Engineering & (IJARCET) correct realization of the intended circuit topology. Note that the LVS check only guarantees topological match: A successful LVS will not guarantee that the extracted circuit will actually satisfy the performance requirements. Any errors that may show up during LVS (such as unintended connections between transistors, or missing connections/devices, etc.) should be corrected in the mask layout before proceeding to post-layout simulation. Also note that the extraction step must be repeated every time you modify the mask layout. Post Layout simulation: The electrical performance of a full-custom design can be best analyzed by performing a post layout simulation on the extracted circuit net list. At this point, the designer should have a complete mask layout of the intended circuit/system, and should have passed the DRC and LVS steps with no violations. The detailed (transistor-level) simulation performed using the extracted net list will provide a clear assessment of the circuit speed, the influence of circuit parasitic (such as parasitic capacitances and resistances), and any glitches that may occur due to signal delay mismatches. If the results of post-layout simulation are not satisfactory, the designer should modify some of the transistor dimensions and/or the circuit topology, in order to achieve the desired circuit performance under realistic conditions, i.e., taking into accounts all of the circuit parasitic. This may require multiple iterations on the design, until the post-layout simulation results satisfy the original design requirements. C) Parameters a) Output Slew (Transition) Time The transition times (slews) [9] on output pins are defined as the time interval between the signal crossing 10% of Vdd and 90% of. Fig.3 illustrates transition time measurements for rising and ing signals. Factors that affect delays and transition time include: temperature, supply voltage, process variations, fan out loading, interconnect loading, input-transition time, input signal polarity, and timing constraints. The timing models provided with this library include the effects of input-transition time on delays. Rise time (TR): The time for a waveform to from 10% to 90% of its steady state value. Fall time (FT): The time for a waveform to from 90% to 10% of its steady state value. Fig.3: Transition time b) Propagation Delay The delay through a cell is the sum of the intrinsic delay, the load-dependent delay, and the input-slew dependent delay. Delays are defined as the time interval between the input stimuli crossing 50% of and the output crossing 50%.is shown in Fig 4. Fig.4: delay c) Timing Constraints Timing constraints [10] define minimum time intervals during which specific signals must be held steady in order to ensure the correct functioning of any given cell. Timing constraints include: setup time, hold time, recovery time, And minimum pulse width. Timing constraints can affect delays. The intrinsic delays given in the datasheets are measured with relaxed timing constraints (longer than necessary setup times, hold times, recovery times, and pulse widths).the use of shorter timing constraint intervals may increase delay. Each cell is considered functional as long as the actual delay does not exceed the delay given in the datasheets by more than 10%. i) Setup Time The setup time for a sequential cell is the minimum length of time the data-input signal must remain stable before the active edge of the clock (or other specified signal) to ensure correct functioning of the cell. The cell is considered functional as long as the delay for the output reaching its expected value does not exceed the reference delay (measured with a large setup time) by more than 10%. Setup constraint values are measured as the interval between the data signal crossing 50% of for rising data (or 50% of for ing data) and the clock signal crossing 50 and is explained through fig.5. Fig.5: setup time ii) Hold Time The hold time for a sequential cell is the minimum length of time the data-input signal must remain stable after the active edge of the clock (or other specified signal) to ensure correct functioning of the cell. The cell is considered functional as long as the delay for the output reaching its expected value does not exceed the reference delay (measured with a large hold time) by more than 10%. Hold-constraint values are measured as the interval between the data signal crossing 50% of for rising data (or 50% of for ing data) and the clock signal crosses 50% of for rising clocks (or 50% of for ing clocks). For the measurement of hold time, the data input signal is held stable before the active clock edge for an infinite setup time. Fig.6 shows hold time.

4 International Journal of Advanced Research in Computer Engineering & (IJARCET) selected input into a single line. A multiplexer of 2n inputs has n select lines, which are used to select which input line to send to the output. Multiplexers are mainly used to increase the amount of data that can be sent over the network within a certain amount of time and bandwidth. A multiplexer is also called a data Selector. The mux4 schematic and symbol is shown in fig.9 and fig.10. Fig.6: Hold Time iii) Recovery Time Recovery time for sequential cells is the minimum length of time that the active low set or reset signal must remain high before the active edge of the clock to ensure correct functioning of the cell. The cell is considered functional as long as the delay for the output reaching its expected value does not exceed the reference delay (measured with a large recovery time) by more than 10%. Recovery constraint values are measured as the interval between the set or reset signal crossing 50% of and the clock signal crossing 50% of for rising clocks (or 50% of for ing clocks). For The measurement of recovery time, the set or reset signals is held stable after the active clock edge for an infinite hold time. Fig.7 illustrates recovery time. Fig.9:mux4 schematic Fig.10:mux4 symbol B) Nand3 Fig.7: Recovery Time iv) Removal Time Removal time for sequential cells is the minimum length of time that the active low set or reset signal must remain low after the active edge of the clock to ensure correct functioning of the cell. The cell is considered functional as long as the active clock edge does not latch in a new data value from that programmed by the asynchronous set or reset signal. Removal constraint values are measured as the interval between the set or reset signal crossing 50% of and the clock signal crossing 50% of for rising clocks (or 50% of for ing clocks). For the measurement of removal time, the set or reset signal is held stable before the active clock edge for an infinite setup time. Fig.8 illustrates removal time. Fig.11:Nand3 layout Figure.8: Removal Time V) SEQUENTIAL CELLS LAYOUT, SCHEMATIC CIRCUIT AND SIMULATION RESULTS OF DESIGNED DIGITAL CIRCUITS USING CADENCE SOFTWARE A) Mux4 In electronics, a multiplexer (or mux) is a device that selects one of several analog or digital input signals and forwards the Fig.12:Nand3 waveform time(ps) time(ps) tt ss ff Table.1: Nand3_1X ISSN: All Rights Reserved 2014 IJARCET 3567

5 ISSN: All Rights Reserved 2014 IJARCET 3568 International Journal of Advanced Research in Computer Engineering & (IJARCET) Tt ss ff tt ss ff Table.2: Nand3_2X tt ss ff Table.3: Nand3_4X Table.5: Nor2_4X D) Or3 C) Nor2 Fig.15:Or3 layout Fig.13:Nor2 layout Fig.16:Or3 waveform Fig.14:Nor2 waveform Tt Ss Ff Table.3: Nor2_1X tt ss ff Table.4: Nor2_2X tt ss ff Table.6: Or3_1X tt ss ff Table.7: Or3_2X tt ss ff Table.8: Or3_4X E) Xor

6 International Journal of Advanced Research in Computer Engineering & (IJARCET) tt ss ff Fig.17: Xor layout Table.10: AOI21_2X tt ss ff Table.11: AOI21_4X G) Oai21 Fig.18: Xor waveform Proces s tt ss ff Table.9: Xor F) Aoi21 Fig.21: Oai 21 layout Fig.19:Aoi21 layout Fig.20:Aoi21 waveform Tt Ss Ff Table.9: AOI21_1X Fig.22: Oai 21 waveform tt ss ff Table.12: Oai 21_1X tt ss ff Table.14: Oai 21_2X tt ss Ff Table.15: Oai 21_4X ISSN: All Rights Reserved 2014 IJARCET 3569

7 ISSN: All Rights Reserved 2014 IJARCET 3570 International Journal of Advanced Research in Computer Engineering & (IJARCET) H) Mux2 Fig.23: Mux2 waveform Fig.25: half adder schematic Fig.26: Half adder symbol Fig.24:mux2 waveform tt ss ff Table.16: Mux2_1X tt ss ff Table.17: Mux2_2X tt ss ff Table.18: Mux2_4X I) Half Adder The half adder adds two single binary digits A and B. It has two out-puts, sum (S) and carry (C). The carry signal represents an overflow into thenext digit of a multi-digit addition. The half-adder ads two input bits and generate carry and sum which are the two outputs of half-adder. The summand carry of the half adder is represented by the equation: SUM = A: B + A: B, CARRY = A: B The schematic & symbol is as shown in Fig.27: Half adder layout Fig.28: half adder waveform PVT Sumtr ( Sumtf( Carrytr( Carrytf( Sumtp( Carrytp( Tt Ss Ff Table.19: half adder J) Full Adder A full adder adds binary numbers and accounts for values carried in as well as out. A one-bit full adder adds three one-bit numbers, often written as A, B, and Cin; A and B are the operands, and Cin is a bit carried in from.the next less significant stage SUM = (A) xor (B) xor (Cin) CARRY = A.B + B.Cin + A.Cin The schematic & symbol is as shown in

8 International Journal of Advanced Research in Computer Engineering & (IJARCET) Fig.29: full adder schematic text containing information regarding timing and functional characteristics of an ASIC cell library. Several components of a technology library used by an ASIC design at various phases of design procedure are listed below: 1. Global parameters: These include PVT corner specifications, unit definitions, threshold values for input and output transitions and maximum output Capacitance and slew limits.2. Functionality for mapping during synthesis and functional simulations. Area, power, timing constraints and delay values for optimization and delay simulation. 4. Pin locations, geometry of cells, routing blockages and grids for place and route. REFERENCES Fig.30: full adder schematic [1] Asic Design in the Silicon Sandbox: A Complete Guide to Building Mixed-Signal Integrated Circuits, Keith Barr McGraw Hill Professional, [2] Harnessing VLSI System Design with Eda Tools by Santosh A. Shinde, Pawan K. Gaikwad. [3] Closing the Gap between Asic & Custom: Tools and Techniques for High by David Chinnery, Kurt Keutzer. [4] Low-Power Cmos Circuits:, Logic Design and Cad Tools by Christian Piguet. [5] Engineering the Cmos Library: Enhancing Digital Design Kits For Competitive By David Doman. [6] Digital VLSI Chip Design with Cadence and Synopsys Cad Tools Erik Brunvand Addison-Wesley, [7] Cmos VLSI Design: A Circuits and Systems Perspective by Neil H. E. Weste, David Money Harri. [8] Mixed-Signal Methodology Guide by Jess Chen, Michael Henrie, Monte F. Mar, Ph.D., Mladen Nizic. [9] Digital Design by Wakerly. [10] Engineering the Cmos Library: Enhancing Digital Design Kits For Competitive By David Doma. Fig.31: full adder layout Fig.32: full adder waveform P V T Sumtr ( Sumtf( Carrytr( Carrytf( Sumtp(p s) Carrytp( tt ss ff Table.20: full adder Author1: Author2: Author3: Author4: Name:-Mr. P.Balaramudu Qualification :-M.Tech (Prof.) University:-Pune University Experience:-8 years(teaching) Name:- Mr. Manoj Kumar Qualification :-M.E (Prof.) University:-Pune University Experience:-8 years(teaching) Name:-Mr. Chape Laxman Murlidhar Qualification :-M.E(VLSI &ESD) Appear University:-Pune Universit Name:-Mr. Wankhade Sachin Sudamrao Qualification:-M.E(VLSI&ESD)Appear University:-Pune University VI) CONCLUSION AND FUTURE SCOPE That main objective of this system is to design high performance standard cell library and it is achieved by meeting the given specification. The required specifications to be met are cell height, & time, & delay. This system meets pre layout and post layout results as per the design specifications. From the results we found that the designed library meets the required specification and is ready to be used in the semi customer design. In the future work we can generate a technology timing library which is a Author5: Name:-Phalke Ulhas Qualification :-M.E(VLSI & ESD)-Appear University:-Pune University ISSN: All Rights Reserved 2014 IJARCET 3571

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim.

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim. ECE 683 Project Report Winter 2006 Professor Steven Bibyk Team Members Saniya Bhome Mayank Katyal Daniel King Gavin Lim Abstract This report describes the use of Cadence software to simulate logic circuits

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Lecture 1: Digital Systems and VLSI

Lecture 1: Digital Systems and VLSI VLSI Design Lecture 1: Digital Systems and VLSI Shaahinhi Hessabi Department of Computer Engineering Sharif University of Technology Adapted with modifications from lecture notes prepared by the book author

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

UNIVERSITY OF CINCINNATI

UNIVERSITY OF CINCINNATI UNIVERSITY OF CINCINNATI Date: September 30,2005 I, Rahul Madhusudanan, hereby submit this work as part of the requirements for the degree of: M.S. in: Electrical Engineering It is entitled: Development

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI International Journal of Electronics Engineering, 1(1), 2009, pp. 103-112 VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI Amrita Rai 1*, Manjeet Singh 1 & S. V. A. V. Prasad 2

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

12 BIT ACCUMULATOR FOR DDS

12 BIT ACCUMULATOR FOR DDS 12 BIT ACCUMULATOR FOR DDS ECE547 Final Report Aravind Reghu Spring, 2006 1 CONTENTS 1 Introduction 6 1.1 Project Overview 6 1.1.1 How it Works 6 1.2 Objective 8 2 Circuit Design 9 2.1 Design Objective

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2017 Design of a Folded Cascode Operational Amplifier in a 1.2 Micron

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 The aims of this project are to provide a degree of familiarity with the following: The potential of computer-aided design for

More information

Gates and and Circuits

Gates and and Circuits Chapter 4 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: September 28, 2011 Due: October 12, 2011, 4PM Reading: Rabaey Chapters

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Final Project Report 4-bit ALU Design

Final Project Report 4-bit ALU Design ECE 467 Final Project Report 4-bit ALU Design Fall 2013 Kai Zhao Aswin Gonzalez Sepideh Roghanchi Soroush Khaleghi Part 1) Final ALU Design: There are 6 different functions implemented in this ALU: 1)

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

P. Sree latha, M. Arun kumar

P. Sree latha, M. Arun kumar International Journal of Scientific & Engineering Research Volume 9, Issue 3, March-2018 1 Performance Analysis of Comparator using Different Design Techniques P. Sree latha, M. Arun kumar Abstract - As

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

DESIGN OF MULTIPLIER USING GDI TECHNIQUE

DESIGN OF MULTIPLIER USING GDI TECHNIQUE DESIGN OF MULTIPLIER USING GDI TECHNIQUE 1 Bini Joy, 2 N. Akshaya, 3 M. Sathia Priya 1,2,3 PG Students, Dept of ECE/SNS College of Technology Tamil Nadu (India) ABSTRACT Multiplier is the most commonly

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Implementation of Full Adder using Cmos Logic

Implementation of Full Adder using Cmos Logic ISSN: 232-9653; IC Value: 45.98; SJ Impact Factor:6.887 Volume 5 Issue VIII, July 27- Available at www.ijraset.com Implementation of Full Adder using Cmos Logic Ravika Gupta Undergraduate Student, Dept

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder

Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder Study and Analysis of Full Adder in Different Sub-Micron Technologies with an Area Efficient Layout of 4-Bit Ripple Carry Adder Sayan Chatterjee M.Tech Student [VLSI], Dept. of ECE, Heritage Institute

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Design and Implementation of High Speed Area Efficient Carry Select Adder Using Spanning Tree Adder Technique

Design and Implementation of High Speed Area Efficient Carry Select Adder Using Spanning Tree Adder Technique 2018 IJSRST Volume 4 Issue 11 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology DOI : https://doi.org/10.32628/ijsrst184114 Design and Implementation of High Speed Area

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

HIGH PERFORMANCE VOLTAGE CONTROLLED OSCILLATOR (VCO) USING 65NM VLSI TECHNOLOGY

HIGH PERFORMANCE VOLTAGE CONTROLLED OSCILLATOR (VCO) USING 65NM VLSI TECHNOLOGY HIGH PERFORMANCE VOLTAGE CONTROLLED OSCILLATOR (VCO) USING 65NM VLSI TECHNOLOGY Ms. Ujwala A. Belorkar 1 and Dr. S.A.Ladhake 2 1 Department of electronics & telecommunication,hanuman Vyayam Prasarak Mandal

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder

Implementation of 256-bit High Speed and Area Efficient Carry Select Adder Implementation of 5-bit High Speed and Area Efficient Carry Select Adder C. Sudarshan Babu, Dr. P. Ramana Reddy, Dept. of ECE, Jawaharlal Nehru Technological University, Anantapur, AP, India Abstract Implementation

More information

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. K. Kloukinas, F. Faccio, A. Marchioro, P. Moreira, CERN/EP-MIC,

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

The Ohio State University EE Senior Design (I)

The Ohio State University EE Senior Design (I) VLSI Scarlet Letters Design Report Report Due Date: Tuesday November 15 th 2005 The Ohio State University EE 582 - Senior Design (I) VLSI Scarlet Letters Team Members: -David W. Adams II -Steve Jocke -Joseph

More information

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES

DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES DESIGN AND ANALYSIS OF LOW POWER 10- TRANSISTOR FULL ADDERS USING NOVEL X-NOR GATES Basil George 200831005 Nikhil Soni 200830014 Abstract Full adders are important components in applications such as digital

More information