ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim.

Size: px
Start display at page:

Download "ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim."

Transcription

1 ECE 683 Project Report Winter 2006 Professor Steven Bibyk Team Members Saniya Bhome Mayank Katyal Daniel King Gavin Lim Abstract This report describes the use of Cadence software to simulate logic circuits to generate propagation delay characteristics. The results are tabulated and a general delay equation is generated for each cell. The equations can then be used to calculate delays necessary for integrating the cells into larger VLSI cell networks.

2 1. Executive Summary 1.1 Introduction This project brings cell designs from ECE 582 and focuses on analyzing delay characteristics of the cells selected. Such propagation delays define the dynamic performance and cascading capabilities of logic cells. Multiple load capacitances will be analyzed to create a table of delay results to generate linearly approximated equations per cell. 1.2 Background Research Dynamic performance of logic cells can be defined in terms of propagation delays between the input and output. A shorter delay would mean faster performance of the cell. 1.3 Design Work Design and simulation work was performed on Cadence design toolkit available at the Electrical Engineering computer labs. The choice of Cadence is determined by the use of the software in most colleges and microelectronics manufacturers in the United States. The operating base for Cadence is UNIX and Windows based software are used extensively for the report and presentation components. 1.4 Design Approach Three cells, the AO3111, AO22 and the OA21 have been analyzed for their timing characteristics in this report. The AO3111 and the AO22 use the pure CCMOS methodology in which the PMOS is the pull up side and the NMOS is the pull down side. For the OA21, an inverter gate has been applied along with the basic CCMOS method. For AO3111, to measure the value of the capacitor rise/fall time, one input, input F has been pulsed keeping the rest of the inputs at zero volts. This input is the one that affects the output and gives a discharging curve of the output capacitance. The time taken to discharge from 90% to 10% of the peak value has been taken as the input slope and is applied as the rise time of the pulsed inputs to get the delay of the cell. 1

3 For AO21, the similar approach as above is followed and the pulsed inputs are A and B. This cell gives a discharging output curve also. In the cell OA21, the inputs A and B are pulsed to give a charging output curve and the corresponding delays. 1.5 Resources Personnel were assigned specific tasks for the project to complete and schedule of work was created for project management. The North Carolina State University Cadence design toolkit for MOSIS SCMOS processes was utilized for cell components used for the project cell designs and reference to textbooks used in VLSI courses were referenced as well. 1.6 Schedule and Costs The time frame assigned for the project is ten weeks during Winter Quarter A history of work is chronologically tabulated and no cost to the team was involved since facilities and equipment were provided in house at OSU. 1.7 Design Review Discussion The work assigned and accomplished are detailed in the review summary. The intend of the project was changed from ECE 582 from creating layouts to generating propagation delay tables. The project is broken down to cell selection and creation, simulations and gathering of delay results followed by tabulation and calculations. Equations were created for reference to future user of the cells. 2

4 Table of Contents 1. Executive Summary 1 2. Table of Contents and List of Tables and Figures 3 3. Introduction Purpose Problem Statement Scope 5 4. Background Research 5 5. Design Work Design Tools 7 6. Design Approach Detail Design Work Selective Analysis Method of finding Cell Equations Resources Personnel Facilities and Equipment Schedule of Work Flow Chart History of Work Design Review Strategy References 25 Appendix 26 3

5 List of Tables and Figures 1. Executive Summary 1 2. Table of Contents and List of Tables and Figures Table of Contents 3 List of Tables and Figures 4 3. Introduction 4. Background Research Figure 4.1 Propagation Delay Curves 6 5. Design Work 6. Design Approach Figure 6.1 Analog Environment 11 Figure 6.2 Stimuli 12 Figure 6.3 Setting Input Values 13 Figure 6.4 Analysis Window 14 Table 6.5 Cells Selected 15 Figure 6.6 Cell Logic Selected for Analysis 16 Table 6.7 Truth Table for Selected Cell 16 Figure 6.8 Cell Schematic Design 17 Figure 6.9 Standard Transient Response 17 Figure 6.10 Input Slope Determination 18 Figure 6.11 Output Delay Determination 19 Table 6.12 Delay tables and equations Statement of Work Table 7.1 Personnel Duties and Responsibilities 22 4

6 3. Introduction 3.1 Purpose This document proposes a project to generate cell delay tables based on logic cell schematics generated in ECE 582. With delay tables generated, cell performance and propagation delay buffers can be optimally implemented during future use of the cells that will be part of the Digital Cell Library at The Ohio State University Department of Electrical and Computer Engineering (ECE). 3.2 Problem Statement In ECE 582, teams were assigned cells to add into the existing OSU digital cell library. With the foundation of additional cells, studies of delay of cells will enhance the cell library for future users to incorporate into VLSI projects. 3.3 Scope The project will have three cells selected to study propagation delay characteristics, standard input delays are determined and simulated with the cells to generate tables of delay values. Multiples of a chosen base load capacitances are used during the simulation to generate a trend in the delays generated to be analyzed. 4. Background Research Dynamic performance of logic cells are characterized in terms of time delay between the switching of the inputs and corresponding change in the outputs. The time delay is also known as a propagation delay of the cell. A short propagation delay would mean a faster performance of the cell. [1] 5

7 A delay for a logic cell can be viewed from two perspectives. A rising delay if the signal from the output pin is rising and vice versa. Propagation delays can be determined by plotting input and output curves and computing from the time delay between 50% of the input magnitude and 50% of the output magnitude. (Fig. 4.1) Figure 4.1 Propagation delay curves [2] Delay models are useful to determine performance parameters and take into consideration losses within the circuitry, such as: [2] 1) Cell propagation delay between input and output through interconnects and resistance and capacitances. 2) Interconnection wire delay across metal wires. 3) Timing requirements such as recovery time, pulse widths, etc. 4) Derating factors such as junction temperature, power supply voltages, and process variations 6

8 5. Design Work The task of ECE 683 is to make use of cells created in ECE 582 and generate standard delay tables from simulating the cells with various load capacitances using Cadence. From the delay tables the propagation delay of each cell can be analyzed for implementation to larger VLSI circuits with more accurately calculated buffers. 5.1 Design Tools The Cadence toolset is a complete microchip EDA system, which is intended to develop professional, full-scale, mixed-signal microchips and breadboards. The modules included in the toolset are for schematic entry, design simulation, data analysis, physical layout, and final verification. The Cadence tools at Ohio State University are the same as those at most every professional mixed-signal microelectronics company in the United States. The strength of the Cadence tools is in its analog design/simulation/layout and mixed-signal verification and is often used in tandem with other tools for RF and/or digital design/simulation/layout, where complete top-level verification is done in the Cadence tools. [3] Another important concept is that the Cadence tools only provide a framework for doing design. Without a foundry-provided design kit, no design can be done. All equipment and facilities for this project will be provided by the Ohio State University Electrical and Computer Engineering Department. The facility that will be used extensively will be the OSU s Electrical Engineering Dreese UNIX lab and the Windows PC lab connected remotely to the UNIX stations through XWin32. All the computer work will be done in Cadence, Microsoft Word, and Microsoft Paint. 7

9 6. Design Approach The combination of group members from different teams from ECE 582 expands the cell logic concepts used in schematic design. Two main ideas of cell designs were taken from previously created cells, namely using complementary CMOS logic and pull-up/pull-down technique incorporating DeMorgan s Theorem. The systems designed using complementary CMOS logic gates, also called static CMOS gates. In general, a fully complementary CMOS gate has a nmos pull-down network to connect the output 0 (GND) and pmos pull-up network to connect the output to 1 (Vdd). This is because a nmos transistor is an almost perfect switch when passing a 0 and thus it is said that it passes a strong 0, however it passes a degraded or weak 1. Vice versa is true for pmos and hence it is necessary to have a pull-down and pull-up network. The networks are arranged such that one is ON and the other is OFF for any input pattern. The standard set of CMOS cells will be used, which are NANDs, NORs, and Inverters. An OR gate will be represented by placing a NOR gate in series with an inverter to compliment the output of the NOR gate, and an AND gate will be represented by placing a NAND gate in series with an inverter to compliment the output of the NAND gate. 6.1 Detailed Design Work Three cells have been analyzed for their timing characteristics namely, the AO3111, AO22 and the OA21. The AO22 which was designed during the course of ECE 683 by a team member who was not in VLSI in ECE 582, AO3111and OA21 have been carried forward from the ECE 582 VLSI project work. The basic design approach for the AO22 and the AO3111 has been the CCMOS methodology which consists of the pull-up and the pull-down sides while laying out the schematic. The pull-up side has the PMOS transistors and the pull-down side has the NMOS transistors. Both 8

10 the sides are designed with the AND gate as series transistors and OR gate as the parallel transistors. The pull-down side has a property of inversing the input and hence making the AND gate a NAND gate and the OR gate into a NOR.In this method, the cell s original logic equation is either applied to the pull-up or the pull down depending on which is more convenient. The other side is then the De- Morgan s compliment of the cell equation. For the OA21 cell, use of inverters in combination with complimentary logic is employed. Since negative logic is favored in VLSI design, using inverters to generate the AND output require will result in faster switch timing compared to a regular AND gate logic. PMOS widths are sized double of NMOS width due to the inherently slower performance of PMOS. Increasing the widths will increase the current carrying capacity and therefore matching the PMOS performance with the NMOS performance. Once the schematics of the cells are ready, the timing characteristics are studied by applying four different load capacitances at the output. The base capacitor load value is 7f F and the following quantities are 4x, 10x and 50x the base value. On the schematic, the capacitor is attached at the output and to get the rise time/ fall time of the cell through a transient analysis. For calculating the rise/fall time of the circuit, the analog environment (Fig. 6.1) is used to set the variable values in the stimuli (Fig. 6.2) option under the Setup menu item. Only those inputs are pulsed which are directly influencing the output. The rest of the inputs are left at zero volts. At this moment all the inputs have an infinite slope. The ground and the VDD are given a voltage DC value of 0 and 5 volts respectively. In the analysis (Fig. 6.3) option, a stop time is fed in after clicking on trans for the transient analysis. Under the output option in the main window, select to be plotted on the schematic. On the schematic choose the Vout line. On the main window again, under simulation, choose netlist and run to get the transient plot. On the plot, the crosshair markers A and B will be used to mark and measure the time between the 5% and 90 % of the peak 9

11 value of the transient. This is in accordance to the definition of the rise time given by McGraw-Hill Dictionary of Scientific and Technical Terms, 5th Edition, Sybil P. Parker, editor, McGraw-Hill, New York, 1994, which states; the time required for the output of a system to change from a specified small percentage (usually 5 or 10 percent) of its steadystate increment to a specified large percentage (usually 90 or 95 percent) For the AO3111 cell, only the input F is pulsed with the output. Also, as seen from the cell s logic diagram, pulsing F will generate a low output which in turn implies that the capacitor tends to discharge. For the AO22 cell, both inputs A and B are pulsed with the output which again gives a low output and hence the capacitor discharges. For the OA21 cell, both inputs A and B are pulsed with the output which gives a high output and hence the capacitor charges. The delay time are measured by taking the time difference between the input and output slopes at 50% magnitude. 10

12 Figure 6.1 Analog Environment 11

13 Figure 6.2 Stimuli Setting Vdd magnitude 12

14 Figure 6.3 Setting input values Input parameters Low to high input pulse 13

15 Figure 6.4 Analysis Window 14

16 Table 6.5 Cells selected Cells types 2 input OR into a 2 input NAND (OA21) Two 2 input AND into a 2 input NOR (AO22) 3 input AND into a 4 input NOR (AO3111) 15

17 6.2 Selective analysis Below is one selected cell used for analysis within the report, the cell logic, design and simulations are presented. One set of output plots is presented for demonstration purposes together with delay tables and equations for all three cells. Delay slope and output plots for the rest of the cell and load conditions are presented in the Appendix section. Figure 6.6 Cell logic selected for analysis Two 2 input AND into a 2 input NOR (AO22) Table 6.7 Truth Table for selected cell The indicated input parameters were used in the simulations. X are don t care conditions which are left to a value of 0 in the simulations. 16

18 Figure 6.8 Cell schematic design Figure 6.9 Standard Transient response 17

19 Figure 6.10 Input slope determination Parameters used: Load Capacitance = 7f F Delay (10% - 90%) = 716 ps 18

20 Figure 6.11 Output delay determination Delay at 50% output magnitude = 539 ps Table 6.12 Delay tables and equations AO22 (Rising input ramp) capacitance slope 7f F 28f F 70f F 350f F ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps Delay, d = C out * Slope in *

21 AO3111 (Rising input ramp) slope capacitance 7f F 28f F 70f F 350f F ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps 52.48ps ps ps ps Delay, d = C out * Slope in * OA21 (Falling input ramp) capacitance slope 7f F 28f F 70f F 350f F ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps ps Delay, d = C out * Slope in * Method of finding the cell equation: The cell equation as given below takes into account the unknowns, the capacitance Cout and the input slope Sin and the constants connected to the two variables. Delay, d = C out * dt/dc + Slope in * dslope/dt + K The first approach of finding the equation took into account only the changing capacitance Cout. The constant of Cout was calculated by: The slope of the dt/dc is calculated for all the four input slopes and averaged out. This is done by graphing the four delay values versus the capacitance at four different 20

22 input slopes. This means that there are four graphs generated for each input slope to get four dt/dc values. The above step however, takes into account only the Cout variable. The changing input slope has to be incorporated in the equation too. To find the input slope constant, four graphs were generated for the input slope versus the delay values at each of the capacitance values. Again, there were four graphs generated for each capacitance to get four dslope in /dt values. The average of the four slope values was the Slope in constant. The next task was to calculate the constant K. By feeding the constant values in the equation along with a particular capacitance and corresponding input slope value, the constant k is calculated by subtracting the actual delay corresponding to the input slope and the capacitance from the constant calculated by feeding the values. d C out *dt/dc Slope in *dslope in /dt = K This equation was applied to all the Cout and the Slope in values to get an averaged value for k which worked for the equation. 21

23 7. Resources 7.1 Personnel Table 7.1 Personnel Duties and Responsibilities Saniya Bhome Cell design and Cadence simulations Results analysis Mayank Katyal Cell design and Cadence simulations Results analysis Daniel King Gavin Lim Schedule of work Cell design and Cadence simulations Logistics 7.2 Facilities and Equipment The software program that will be used in creating the schematics and layout for each cell will be Cadence. The North Carolina State University cadence website containing tutorials for the setup of Cadence and sample project was utilized in the setting up and simulation of the logic cells selected. Utilizing the Cadence Design Kit for the MOSIS SCMOS processes (Cadence ICFB) developed by NCSU to create the cell schematic, parts for the cells are take from the NCSU analog parts library. Virtuoso will be used in testing the circuits for optimized performance. The reference textbook that will be used will be CMOS VLSI Design: A Circuits and Systems Perspective by Neil H.E. Weste and David Harris as well as Microelectronic Circuits by Sedra and Smith. Some of the information provided by the authors on their textbook website will also be used. 22

24 8. Schedule of Work The time frame allowed for the completion of the simulation, calculations and report writing will be ten weeks from the start of Winter Quarter A final presentation and report submission will be completed by the end of the quarter. 8.1 Flow Chart 8.2 History of work Week Work Performed Week 1 Review of ECE 582 Week 2 Week 3 Week 4 Week 5 Week 6 Week 7 Week 8 Week 9 Week 10 and 11 Review of cell logic and Cadence Meeting and task details Begin cell simulations Individual Midterm Reports Simulation result tabulation and calculation Begin final report preparation Plot captures and final report preparation Final Report/Presentation Preparation Presentation and wrap up 23

25 9. Design Review Discussion The focus of ECE 683 was initially thought of as a continuation of the work done in ECE 582 where cells designed will be future developed into a working layout and eventually tested before fabrication. The project direction was shifted to more in depth analysis of the cell design to focus on digital timing and create a set of delay tables for future synthesis. The first step undertaken was to review Tanner s standard AMI 0.5 cell library and review the timing characteristics and equations derived for their cell library. It was determined that the delay was to be somewhat linear and some approximations will be taken with the simulated results. The determination of the input delay was derived from applying an infinite step input to the logic circuit to get the delay timing, then applying the delay timing as an input slope into the same circuit for the delayed outputs. From the output delay, it was determined that the delay time should be recorded as a difference between the time when the input and output reaches 50% of the maximum magnitude. This method was kept consistent through all three circuits used to create delay tables for this project. Graphs are created based on the delay results and using straight line approximations, constants for the equation desired was determined by averaging constants for each delay result. The equations derived allows users to mathematically compute output delay for each cell with load capacitance and input slope (delay) values. 24

26 10. References [1] A. Sedra & K. Smith. Microelectronic Circuits. Oxford University Press [2] Samsung ASIC. STD90/MDL90 documentation. [3] OSU ECE. Cadence Central. Web documentation. 25

27 Appendix AO3111 SCHEMATIC: 26

28 AO3111 DISCHARGE PLOTS & TIMES FOR DIFFERENT CAPACITANCES: Discharge time with 7f F: ps 27

29 Discharge time with 28f F: ps Discharge time with 70f F: ps 28

30 Discharge time with 350f F: ps 29

31 AO3111 DELAY PLOTS AND TIMES WITH DIFFERENT SLOPES: Delay when ps slope is applied to the input with 7f F at the output: ps Delay when 516.5ps slope is applied to the input with 7f F at the output: ps 30

32 Delay when ps slope is applied to the input with 7f F at the output: ps Delay when ps slope is applied to the input with 7f F at the output: 52.48ps 31

33 Delay when ps slope is applied to the input with 28f F at the output: ps Delay when 516.5ps slope is applied to the input with 28f F at the output: ps 32

34 Delay when ps slope is applied to the input with 28f F at the output: ps Delay when ps slope is applied to the input with 28f F at the output: ps 33

35 Delay when ps slope is applied to the input with 70f F at the output: ps Delay when 516.5ps slope is applied to the input with 70f F at the output: ps 34

36 Delay when ps slope is applied to the input with 70f F at the output: ps Delay when ps slope is applied to the input with 70f F at the output: ps 35

37 Delay when ps slope is applied to the input with 350f F at the output: ps Delay when 516.5ps slope is applied to the input with 350f F at the output: ps 36

38 Delay when ps slope is applied to the input with 350f F at the output: ps Delay when ps slope is applied to the input with 350f F at the output: ps 37

39 AO22 SCHEMATIC: 38

40 AO22 DELAY PLOTS AND TIMES WITH DIFFERENT SLOPES: Delay when ps slope is applied to the input with 28f F at the output: ps Delay when ps slope is applied to the input with 28f F at the output: ps 39

41 Delay when ps slope is applied to the input with 28f F at the output: ps Delay when ps slope is applied to the input with 28f F at the output: ps 40

42 Delay when ps slope is applied to the input with 70f F at the output: ps Delay when ps slope is applied to the input with 70f F at the output: ps 41

43 Delay when ps slope is applied to the input with 70f F at the output: ps Delay when ps slope is applied to the input with 70f F at the output: ps 42

44 Delay when ps slope is applied to the input with 350f F at the output: ps Delay when ps slope is applied to the input with 350f F at the output: ps 43

45 Delay when ps slope is applied to the input with 350f F at the output: ps Delay when ps slope is applied to the input with 350f F at the output: ps 44

46 OA21 SCHEMATIC: 45

47 OA21 DELAY SLOPES WITH INFINITE PULSE INPUT Delay for 7f F: 214 ps Delay for 28 ff: 356 ps 46

48 Delay for 70f F: 525 ps Delay for 350f F: 1620 ps 47

49 OA21 DELAY PLOTS AND TIMES WITH DIFFERENT SLOPES: Delay when 214 ps slope is applied to the input with 7f F at the output: 133 ps 48

50 Delay when 356 ps slope is applied to the input with 7f F at the output: 155 ps Delay when 525 ps slope is applied to the input with 7f F at the output: 173 ps 49

51 Delay when 1620 ps slope is applied to the input with 7f F at the output: 1219 ps 50

52 Delay when 214 ps slope is applied to the input with 28f F at the output: 215 ps Delay when 356 ps slope is applied to the input with 28f F at the output: 238 ps 51

53 Delay when 525 ps slope is applied to the input with 28f F at the output: 265 ps 52

54 Delay when 1620 ps slope is applied to the input with 28f F at the output: 365 ps Delay when 214 ps slope is applied to the input with 70f F at the output: 370 ps 53

55 Delay when 356 ps slope is applied to the input with 70f F at the output: 387 ps 54

56 Delay when 525 ps slope is applied to the input with 70f F at the output: 409 ps Delay when 1620 ps slope is applied to the input with 70f F at the output: 525 ps 55

57 Delay when 214 ps slope is applied to the input with 350f F at the output: 916 ps Delay when 356 ps slope is applied to the input with 350f F at the output: 931 ps 56

58 Delay when 525 ps slope is applied to the input with 350f F at the output: 950 ps Delay when 1620 ps slope is applied to the input with 350f F at the output: 1069 ps 57

The Ohio State University EE Senior Design (I)

The Ohio State University EE Senior Design (I) VLSI Scarlet Letters Design Report Report Due Date: Tuesday November 15 th 2005 The Ohio State University EE 582 - Senior Design (I) VLSI Scarlet Letters Team Members: -David W. Adams II -Steve Jocke -Joseph

More information

Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice

Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice ECEL 301 ECE Laboratory I Dr. A. Fontecchio Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice Goal Characterize critical parameters of the inverting or non-inverting opampbased amplifiers.

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation

EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation Teacher: Robert Dick GSI: Shengshuo Lu Assigned: 5 September 2013 Due: 17 September 2013

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Lab 2: Basic Boolean Circuits. Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13

Lab 2: Basic Boolean Circuits. Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13 Lab 2: Basic Boolean Circuits Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13 Introduction The main goal of this lab was to become familiarized with the methods

More information

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: September 28, 2011 Due: October 12, 2011, 4PM Reading: Rabaey Chapters

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC)

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) The plot below shows how the inverter's threshold voltage changes with the relative

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey Homework #1: Circuit Simulation EECS 141 Due Friday, January 29, 5pm, box in 240

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016

CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 CMOS synchronous Buck switching power supply Raheel Sadiq November 28, 2016 Part 1: This part of the project is to lay out a bandgap. We previously built our bandgap in HW #13 which supplied a constant

More information

Lab 3: Circuit Simulation with PSPICE

Lab 3: Circuit Simulation with PSPICE Page 1 of 11 Laboratory Goals Introduce text-based PSPICE as a design tool Create transistor circuits using PSPICE Simulate output response for the designed circuits Introduce the Curve Tracer functionality.

More information

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN 1.Introduction: CMOS Switching Power Supply The course design project for EE 421 Digital Engineering

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Computer-Based Project on VLSI Design Co 3/8

Computer-Based Project on VLSI Design Co 3/8 Computer-Based Project on VLSI Design Co 3/8 This pamphlet describes a laboratory activity based on a former third year EIST experiment. Its purpose is the measurement of the switching speed of some CMOS

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

12 BIT ACCUMULATOR FOR DDS

12 BIT ACCUMULATOR FOR DDS 12 BIT ACCUMULATOR FOR DDS ECE547 Final Report Aravind Reghu Spring, 2006 1 CONTENTS 1 Introduction 6 1.1 Project Overview 6 1.1.1 How it Works 6 1.2 Objective 8 2 Circuit Design 9 2.1 Design Objective

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 4

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 4 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, MAY-2013 ISSN High-Speed 64-Bit Binary using Three Different Logic Styles Anjuli (Student Member IEEE), Satyajit Anand Abstract--High-speed 64-bit binary comparator using three different logic styles is proposed in

More information

ELEC 2210 EXPERIMENT 12 NMOS Logic

ELEC 2210 EXPERIMENT 12 NMOS Logic ELEC 2210 EXPERIMENT 12 NMOS Logic Objectives: The experiments in this laboratory exercise will provide an introduction to NMOS logic. You will use the Bit Bucket breadboarding system to build and test

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer

Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer The objective of this lab is to become familiar with methods to measure the dc current-voltage (IV) behavior of diodes

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, FUNDAMENTALS. Electrical Engineering. 2.

1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, FUNDAMENTALS. Electrical Engineering. 2. 1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, 1996. FUNDAMENTALS Electrical Engineering 2.Processing - Analog data An analog signal is a signal that varies continuously.

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

ENGR-4300 Fall 2006 Project 3 Project 3 Build a 555-Timer

ENGR-4300 Fall 2006 Project 3 Project 3 Build a 555-Timer ENGR-43 Fall 26 Project 3 Project 3 Build a 555-Timer For this project, each team, (do this as team of 4,) will simulate and build an astable multivibrator. However, instead of using the 555 timer chip,

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

PERFORMANCE EVALUATION OF SELECTED QUASI-ADIABATIC LOGIC STYLES

PERFORMANCE EVALUATION OF SELECTED QUASI-ADIABATIC LOGIC STYLES Chapter 4 PERFORMANCE EVALUATION OF SELECTED QUASI-ADIABATIC LOGIC STYLES 4.1 Introduction The need of comparison of quasi-adiabatic logic styles was identified in the last chapter so that a contribution

More information

Energy Efficient Full-adder using GDI Technique

Energy Efficient Full-adder using GDI Technique Energy Efficient Full-adder using GDI Technique Balakrishna.Batta¹, Manohar.Choragudi², Mahesh Varma.D³ ¹P.G Student, Kakinada Institute of Engineering and technology, korangi, JNTUK, A.P, INDIA ²Assistant

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2.

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2. Running Cadence Once the Cadence environment has been setup you can start working with Cadence. You can run cadence from your directory by typing Figure 1. Main window (Common Interface Window), CIW opens

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A COMPARATIVE ANALYSIS OF 180 NM PROCESS CMOS INVERTER

A COMPARATIVE ANALYSIS OF 180 NM PROCESS CMOS INVERTER A COMPARATIVE ANALYSIS OF 180 NM PROCESS CMOS INVERTER Amresh Kumar Lenka Department of Electronics and Communication Engineering Centre for Advance Post Graduate Studies, Rourkela Ananya Dastidar Biju

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX

Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Implementation of Power Clock Generation Method for Pass-Transistor Adiabatic Logic 4:1 MUX Prafull Shripal Kumbhar Electronics & Telecommunication Department Dr. J. J. Magdum College of Engineering, Jaysingpur

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

CS/EE 181a 2010/11 Lecture 1

CS/EE 181a 2010/11 Lecture 1 CS/EE 181a 2010/11 Lecture 1 CS/EE 181 is about designing digital CMOS systems. Functional Specification Approximate domain of CS181 Circuit Specification Simulation Architectural Specification Abstract

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

Lecture 2: Digital Logic Basis

Lecture 2: Digital Logic Basis Lecture 2: Digital Logic Basis Xufeng Kou School of Information Science and Technology ShanghaiTech University 1 Outline Truth Table Basic Logic Operation and Gates Logic Circuits NOR Gates and NAND Gates

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

Abu Dhabi Men s College, Electronics Department. Logic Families

Abu Dhabi Men s College, Electronics Department. Logic Families bu Dhabi Men s College, Electronics Department Logic Families There are several different families of logic gates. Each family has its capabilities and limitations, its advantages and disadvantages. The

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report)

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report) Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report) 1 Objective The objective of this project is to familiarize the student with the trade-offs

More information

Fundamentals of Microelectronics

Fundamentals of Microelectronics Fundamentals of Microelectronics CH1 Why Microelectronics? CH2 Basic Physics of Semiconductors CH3 Diode Circuits CH4 Physics of Bipolar Transistors CH5 Bipolar Amplifiers CH6 Physics of MOS Transistors

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

Design and Analysis of f2g Gate using Adiabatic Technique

Design and Analysis of f2g Gate using Adiabatic Technique Design and Analysis of f2g Gate using Adiabatic Technique Renganayaki. G 1, Thiyagu.P 2 1, 2 K.C.G College of Technology, Electronics and Communication, Karapakkam,Chennai-600097, India Abstract: This

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

EE320L Electronics I. Laboratory. Laboratory Exercise #2. Basic Op-Amp Circuits. Angsuman Roy. Department of Electrical and Computer Engineering

EE320L Electronics I. Laboratory. Laboratory Exercise #2. Basic Op-Amp Circuits. Angsuman Roy. Department of Electrical and Computer Engineering EE320L Electronics I Laboratory Laboratory Exercise #2 Basic Op-Amp Circuits By Angsuman Roy Department of Electrical and Computer Engineering University of Nevada, Las Vegas Objective: The purpose of

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

Performance of Revised TVC Circuit. PSD8C Version 2.0. Dr. George L. Engel

Performance of Revised TVC Circuit. PSD8C Version 2.0. Dr. George L. Engel Performance of Revised TVC Circuit PSD8C Version 2. Dr. George L. Engel May, 21 I) Introduction This report attempts to document the performance of the revised TVC circuit. The redesign tried to correct

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits MOSFETs Sections of Chapter 3 &4 A. Kruger MOSFETs, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width = 1 10-6 m or less Thickness = 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Ashish Panchal (Senior Lecturer) Electronics & Instrumentation Engg. Department, Shri G.S.Institute of Technology

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

EE584 (Fall 2006) Introduction to VLSI CAD Project. Design of Ring Oscillator using NOR gates

EE584 (Fall 2006) Introduction to VLSI CAD Project. Design of Ring Oscillator using NOR gates EE584 (Fall 2006) Introduction to VLSI CAD Project Design of Ring Oscillator using NOR gates By, Veerandra Alluri Vijai Raghunathan Archana Jagarlamudi Gokulnaraiyn Ramaswami Instructor: Dr. Joseph Elias

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Microelectronics Circuit Analysis and Design

Microelectronics Circuit Analysis and Design Microelectronics Circuit Analysis and Design Donald A. Neamen Chapter 3 The Field Effect Transistor Neamen Microelectronics, 4e Chapter 3-1 In this chapter, we will: Study and understand the operation

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

Submission date: Wednesday 21/3/2018

Submission date: Wednesday 21/3/2018 Faculty of Information Engineering & Technology Electrical & Electronics Department Course: Microelectronics Lab ELCT605 Spring 2018 Dr. Eman Azab Eng. Samar Shukry Analog Report 1, 2 DC, TRANSIENT, AND

More information

Lecture 7: Digital Logic

Lecture 7: Digital Logic Lecture 7: Digital Logic Last time we introduced the concept of digital electronics i.e., one identifies a range of voltages with the value, and another range with the value But we didn t specify these

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information