Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7

Size: px
Start display at page:

Download "Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7"

Transcription

1 Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7

2 FIGURE CP.1 Layout and cross section of an N-Well CMOS process using 2D models in SIMPL-2 [rzz.lee.phd]. FIGURE CP.2 Magnified view of a CMOS cross section with doping concentration displayed along a cut-line [rzz.wu.ms] 8 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 3/3/06

3 FIGURE CP.3 Layout and cross section for a N-well CMOS process using vertical extrusion in SIMPL-1 [rzz.grimm.ms]. FIGURE CP.4 Evolution of device topography and doping during a CMOS process flow using SIMPL-1 a) LOCOS stack for well, b) N-well implant and drive, c) LOCOS stack for active area, d) channel-stop implant, e) field oxide, f) gate oxide and polysilicon, g) n + source/drain and well contact implant, h) p + source/drain implant, i) PSG dielectric and contact openings, j) blanket aluminum, and k) patterned aluminum [rzz.grimm.ms]. 3/3/06 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 9

4 FIGURE CP.5 Layout and cross section for a bipolar transistor [rzz.grimm.ms]. FIGURE CP.6 Layout for a logic gate as seen in the MAGIC IC Design System using a standard double level metal CMOS technology as defined in the circuit design textbook by Rabaey [rzz.rabaey]. 10 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 3/3/06

5 FIGURE CP.7 Cross section along a cut-line through the device for the double level metal CMOS logic gate. FIGURE CP.8 Cross section along a cut-line through the interconnect for the double level metal CMOS logic gate. FIGURE CP.9 Cross section with misalignment made with WORST showing that an electrical short does not occur even when the POLY and MET2 masks overlap [rzz.wu.ms]. FIGURE CP.10 HUNCH boolean expressions which determine the location of potential metal topography problems [rzz.wu.ms]. SEE NEXT PAGE 3/3/06 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 11

6 FIGURE CP.11 HUNCH highlight of the location of potential metal topography problem [rzz.wu.ms] 12 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 3/3/06

7 FIGURE CP.12 Cross section with highlighted acute topography feature found by CRITIC [rzz.wu.ms]. FIGURE CP.13 DRAM layout and cross section along the diagonal metal strap [rzz.lee.phd]. 3/3/06 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 13

8 FIGURE CP.14 DRAM layout and cross section along the horizontal wordline [rzz.lee.phd]. FIGURE CP.15 DRAM layout and cross section along the horizontal word line with same process except that the CREEP reflow of the PSG dielectric was omitted leaving cracks which become more severe with additional process steps [rzz.lee.phd]. 14 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 3/3/06

9 FIGURE CP.16 Layout and cross section of a N-well CMOS device integrated with a MEM structure [rzz.lee.ms]. FIGURE CP.17 Layout and cross section of the MEM structure showing that if overetching is not used a polysilicon stringer interconnects the left point and right pointing fingers [rzz.lee.ms] 3/3/06 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 15

10 FIGURE CP.18 Layout and cross section of a BiCMOS process simulated by SIMPL-2 [rzz.cole and logan]. FIGURE CP.19 Example of extraction of parasitic electrical effects of the nonplanar interconnect by determining the curvilinear squares of each face in the cross section [rzz.scheckler.ms]. 16 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 3/3/06

11 Not Available yet. FIGURE CP.20 Cross section of the double level metal CMOS logic when a MET2 to source/drain contact is attempted and a misalignment which is permitted in the current design rules occurs. FIGURE CP.21 Layout and cross section for a BiCMOS technology in which a polysilicon collector plug is used to contact the buried layer and stitch-back between SIMPL and SUPREM4 is utilized [rzz.vlsi.scheck]. FIGURE CP.22 Focused Ion Beam device cross section and its simulation [rzz.tazawa.ntt]. 3/3/06 SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 17

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 40 BICMOS technology So, today we are going to have the last class on this VLSI

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Chapter 3 CMOS processing technology (II)

Chapter 3 CMOS processing technology (II) Chapter 3 CMOS processing technology (II) Twin-tub CMOS process 1. Provide separate optimization of the n-type and p-type transistors 2. Make it possible to optimize "Vt", "Body effect", and the "Gain"

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review 3-Axis Accelerometer For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks. 3685 Richmond

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

The Art of ANALOG LAYOUT Second Edition

The Art of ANALOG LAYOUT Second Edition The Art of ANALOG LAYOUT Second Edition Alan Hastings 3 EARSON Pearson Education International Contents Preface to the Second Edition xvii Preface to the First Edition xix Acknowledgments xxi 1 Device

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 39 Latch up in CMOS We have been discussing about the problems in CMOS, basic

More information

CS/ECE 5710/6710. Composite Layout

CS/ECE 5710/6710. Composite Layout CS/ECE 5710/6710 Introduction to Layout Inverter Layout Example Layout Design Rules Composite Layout Drawing the mask layers that will be used by the fabrication folks to make the devices Very different

More information

Texas Instruments BQ29330 Battery Protection AFE from BQ20Z95DBT

Texas Instruments BQ29330 Battery Protection AFE from BQ20Z95DBT Texas Instruments BQ29330 Battery Protection AFE from BQ20Z95DBT Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM 2 Some of the information in this report may be

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

CMOS: Fabrication principles and design rules

CMOS: Fabrication principles and design rules CMOS: Fabrication principles and design rules João Canas Ferreira University of Porto Faculty of Engineering 2016-02-29 Topics 1 Overview of the CMOS fabrication process 2 Geometric design rules João Canas

More information

Cypress CY7C PVC USB 2.0 Integrated Microcontroller Process Analysis

Cypress CY7C PVC USB 2.0 Integrated Microcontroller Process Analysis March 12, 2004 Cypress CY7C68013-56PVC USB 2.0 Integrated Microcontroller Process Analysis Introduction... Page 1 List of Figures... Page 2 Device Summary... Page 6 Device Identification Package and Assembly

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

Digital Integrated Circuit Design I ECE 425/525 Chapter 3

Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Structural Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process Micron MT66R7072A10AB5ZZW 45 nm BiCMOS PCM Process Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM DRAM Process Report - Preliminary Table of Contents 3 Table of Contents Introduction Major Findings

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram

IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram IBM POWER7 Server 46J6702 IBM 45 nm Dual Stress Liner SOI CMOS Process with edram Front End Process Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com

More information

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Custom Process Review with TEM Analysis For comments, questions, or more information about this report, or for any

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

(12) Patent Application Publication (10) Pub. No.: US 2001/ A1

(12) Patent Application Publication (10) Pub. No.: US 2001/ A1 (19) United States US 2001.0020719A1 (12) Patent Application Publication (10) Pub. No.: US 2001/0020719 A1 KM (43) Pub. Date: Sep. 13, 2001 (54) INSULATED GATE BIPOLAR TRANSISTOR (76) Inventor: TAE-HOON

More information

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical.

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical. Digital Integrated Circuit Design I ECE 425/525 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

CHAPTER 1 Introduction

CHAPTER 1 Introduction CHAPTER 1 Introduction Modeling and simulation of lithography and topography for processes and inspection in fabricating integrated circuits are the subject of this monograph. The introduction begins by

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis February 23, 2007 Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Notes. (Subject Code: 7EC5)

Notes. (Subject Code: 7EC5) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes VLSI DESIGN NOTES (Subject Code: 7EC5) Prepared By: MANVENDRA SINGH Class: B. Tech. IV Year, VII

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

EC0306 INTRODUCTION TO VLSI DESIGN

EC0306 INTRODUCTION TO VLSI DESIGN EC0306 INTRODUCTION TO VLSI DESIGN UNIT I INTRODUCTION TO MOS CIRCUITS Why VLSI? Integration improves the design: o lower parasitics = higher speed; o lower power; o physically smaller. Integration reduces

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Experiment 3 - IC Resistors

Experiment 3 - IC Resistors Experiment 3 - IC Resistors.T. Yeung, Y. Shin,.Y. Leung and R.T. Howe UC Berkeley EE 105 1.0 Objective This lab introduces the Micro Linear Lab Chips, with measurements of IC resistors and a distributed

More information

A High Breakdown Voltage Two Zone Step Doped Lateral Bipolar Transistor on Buried Oxide Thick Step

A High Breakdown Voltage Two Zone Step Doped Lateral Bipolar Transistor on Buried Oxide Thick Step A High Breakdown Voltage Two Zone Step Doped Lateral Bipolar Transistor on Buried Oxide Thick Step Sajad A. Loan, S. Qureshi and S. Sundar Kumar Iyer Abstract----A novel two zone step doped (TZSD) lateral

More information

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1 EE 330 Lecture 7 Design Rules IC Fabrication Technology Part 1 Review from Last Time Technology Files Provide Information About Process Process Flow (Fabrication Technology) Model Parameters Design Rules

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Source: IC Layout Basics. Diodes

Source: IC Layout Basics. Diodes Source: IC Layout Basics C HAPTER 7 Diodes Chapter Preview Here s what you re going to see in this chapter: A diode is a PN junction How several types of diodes are built A look at some different uses

More information

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process Texas Instruments Sitara XAM3715CBC Application Processor Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Freescale MRF6P3300H RF Power Field Effect Transistor Process Review

Freescale MRF6P3300H RF Power Field Effect Transistor Process Review August 4, 2006 Freescale MRF6P3300H RF Power Field Effect Transistor Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process

NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process Process Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Analysis

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Review: CMOS Logic Gates

Review: CMOS Logic Gates Review: CMOS Logic Gates INV Schematic NOR Schematic NAND Schematic + Vsg - pmos x x Vin Vout = Vin y + Vgs - nmos CMOS inverts functions CMOS Combinational Logic x g(x,y) = x + y use DeMorgan relations

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

EE410 Test Structures & Testing

EE410 Test Structures & Testing Test Structures & Testing Krishna S Department of Electrical Engineering S 1 What's on the New CMOS Chip? The CMOS-LOCOS wafer contains 80 dice, each die measuring 8.3mm x 8.3mm. 1. Fabrication Test Structures

More information

Sony IMX Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera

Sony IMX Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera Sony IMX147 20 Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera Module 5: Substrate Dopant Analysis Sony IMX147 Back Illuminated

More information

1. (2pts) What is the purpose of the buried collector in a bipolar process?

1. (2pts) What is the purpose of the buried collector in a bipolar process? EE 330 Exam 2 Fall 2013 Name Instructions: This is a 50-minute exam. Students may bring 2 pages of notes (front and back) to this exam. The points allocated to each question and each problem are as indicated.

More information

Unit-1. MOS Transistor Theory

Unit-1. MOS Transistor Theory VLSI DESIGN -EEE Unit-1 MOS Transistor Theory VLSI DESIGN UNIT I Contents: 1.1 Historical Perspective 1.2 What is VLSI? - Introduction 1.3 VLSI Design Flow 1.4 Design Hierarchy 1.5 Basic MOS Transistor

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

A FIVE MICRON, SELF-ALIGNED, POLYSILICON GATE CMOS PROCESS DESIGN

A FIVE MICRON, SELF-ALIGNED, POLYSILICON GATE CMOS PROCESS DESIGN A FIVE MICRON, SELF-ALIGNED, POLYSILICON GATE CMOS PROCESS DESIGN BY JOHN P. SCOOPO Fifth Year Microelectronic Engineering Student Rochester Institute of Tehnology ABSTRACT The design of a five micron,

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

MOSIS Scalable CMOS Design Rules. (revision 7) Jen-I Pi. the MOSIS Service. University of Southern California Admiralty Way

MOSIS Scalable CMOS Design Rules. (revision 7) Jen-I Pi. the MOSIS Service. University of Southern California Admiralty Way MOSIS Scalable CMOS Design Rules (revision 7) Jen-I Pi 1 Introduction 1.1 SCMOS Design Rules the MOSIS Service Information Sciences Institute University of Southern California 4676 Admiralty Way Marina

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016

ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 ECEN474/704: (Analog) VLSI Circuit Design Fall 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Turn in your 0.18um NDA form by Thursday Sep 1 No

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Texas Instruments ISO7220A Capacitor Type Digital Isolator

Texas Instruments ISO7220A Capacitor Type Digital Isolator Texas Instruments ISO7220A Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in this report may be covered

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

Analog IC Design and Fabrication

Analog IC Design and Fabrication Analog IC Design and Fabrication James Tom Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract The purpose of this project was to test the performance of analog integrated

More information

EE 330 Lecture 21. Bipolar Process Flow

EE 330 Lecture 21. Bipolar Process Flow EE 330 Lecture 21 Bipolar Process Flow Exam 2 Friday March 9 Exam 3 Friday April 13 Review from Last Lecture Simplified Multi-Region Model I C βi B JSA IB β V 1 V E e V CE BE V t AF V BE >0.4V V BC

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information