THURSDAY, DECEMBER 4 TH

Size: px
Start display at page:

Download "THURSDAY, DECEMBER 4 TH"

Transcription

1

2 THURSDAY, DECEMBER 4 TH 1.00 PM Welcome 1.30 PM Introduction ARCSIS (Rousset, France) & CMP (Gardanne, France) 1.45 PM Microelectronics in the competitiveness cluster Secured Communicating Solutions Georges Falessi, SCS cluster (Rousset, France) SESSION 1 PROCESS PACKAGING Chairmen: Béatrice Dubois (Gemalto), Olivier Brunet (SPS) 2.00 PM Introduction - Presentation of the Micro-PackS ressource Platform, Michel Thomas, Director 2.10 PM Keynote speaker Nicolas SILLON, CEA-LETI Minatec (Grenoble, France) 3D Perspectives 2.40 PM Advanced Equipment Solutions for C2W (Chip to Wafer Stacking) M. Gehmayer, Datacon (Radfeld, Austria) 3.00 PM Flip Chip vs. COB A production and cost comparison for real products J. Kloeser, M. Schmidt, T. Oppert, G. Azdasht, E. Zakel, Pac Tech Packaging Technologies, (Nauen, Germany), A. Ferber, AEMtec (Berlin, Germany) 3.20 PM Stacking Technique Of Fully Wafer Level Process Without Thru- Silicon Via - Commercial Applications C. Val, P. Couderc, N. Boulay, 3D Plus (Buc, France) 3.40 PM "CIWIS" - Chip In Wafer for Integrated System J.-C. Souriau, M.E. Faivre, N. Sillon, CEA-LETI Minatec (Grenoble, France) 4.00 PM TAIKO Process H. Douass, Disco Hi-Tec (Aix-en- Provence, France) 4.20 PM Break 4.50 PM Adhesive Flip-chip Interconnections for Inlays of Contact-less Smart Cards J. Lenkkeri, T. Jaakola, M. Lahti, VTT (Oulu, Finland), T. Kaskiala, Gemalto (Vantaa, Finland), M. Allen, VTT (Espoo, Finland) 5.10 PM Packaging of optoelectronic devices for half duplex communication in surfaceintegrated polymer-optical fibres M. Dumke, L. Overmeyer, Institute of Transport & Automation Technology (Garbsen, Germany) SESSION 2 PRINTED ELECTRONICS Chairmen: Philippe Collot (CMP), Michel Thomas (Gemalto) 5.30 PM Keynote speaker Jean-Luc MATE, Chairman, EURIPIDES (Paris, France) EURIPIDES : A strategic booster for innovative projects 5.45 PM «JETPAC», a semi-industrial prototyping tool for printed electronics I. Pages, C. Calmes, P. Benaben, A. Le Henry Provence Microelectronics Centre, (Gardanne, France)

3 6.05 PM Printed Electronics Technology Platform Initiative in France L. Jamet, R. Coppard, Sofileta (Bourgoin-Jallieu, France), F. Gaillard, CEA Liten (Grenoble, France) 6.25 PM Conference closure 7.00 PM Dinner FRIDAY, DECEMBER 5 th 8.45 AM Welcome SESSION 2 PRINTED ELECTRONICS Chairmen: Philippe Collot (CMP), Michel Thomas (Gemalto) 9.00 AM Organic transistor fabrication assisted by laser techniques: application in plastic microelectronics L. Rapp, C. Cibert, A.P. Alloncle, P. Delaporte, LP3 (Marseilles, France), S. Nenon, C. Videlot-Ackermann, F. Fages, CINaM (Marseilles, France) 9.20 AM Organic Thin Film Transistor: from unipolar transistor to complementary logic functions J.M. Verilhac, D. Boudinet, C. Bory, J. Bablet, J. Tallal, F. Sonier, M. Heitzmann, A.L. Seiler, S. Jacob, R. Gwoziecki, C. Serbutoviez, F. Gaillard, CEA Liten (Grenoble, France), M. Benwadih, H. Bracoud, K. Aissou, L. Jamet, R. Coppard, Sofileta (Bourgoin-Jallieu, France) 9.40 AM Development of Metal Coated Polymer Particles With Extremely Narrow Size Distribution for Electronic Interconnections H. Kristiansen, K. Redford, Conpart (Skjetten, Norway), Z. Zhang, J. Hee, T. Helland, NTNU (Norway) AM Electrical and physical characterization of nanoparticle silver ink lines printed by inkjet on plastic substrates B. Bensaid, X. Boddaert, K. Inal, M. Fenoll, S. Sanaur, Centre Microélectronique de Provence Georges Charpak (Gardanne, France) AM Break

4 SESSION 3 CHARACTERIZATION & MATERIALS Chairmen: Lucile Dossetto (Gemalto), Patrick Dessaux (NBS Technologies) AM New UV glob top resin for micropackaging encapsulation S. Rolland, Protavic International (Levallois, France) AM Hermeticity issues and assembly options for reliable cavity micropackaging using novel plastic materials and processes R. De Langlade, F. Caillot, NovaPack Technologies (Saint Egrève, France), M. Bois, AcuiPlast (France) AM Design for reliability of smart card module: numerical and experimental investigation M. Su, K. Inal, X. Boddaert, Centre Microélectronique de Provence Georges Charpak (Gardanne, France), A. Cauvin, R. Kubler, M. Desvignes, J.E. Masse, MécaSurf, Arts et Métiers ParisTech (Aix en Provence, France) AM Dupont Microcircuit Materials in Printed Electronics C. Labro, Dupont de Nemours (Puteaux, France) PARTNERSHIP 2008 IMAPS is an international society charged to promote Microelectronics, Interconnection and Packaging Industry and to support university research as well as industrial development of various sectors: High-density substrates (ceramics, printed circuit, flexible circuit), Hybrid circuit, MCM, COB, Chip packaging (ASIC, MEMS ) 3D assembly, wafer level, electronic chip assembly, CMS, connectors IMAPS society is also an international network with more than 70 representations and offers to its members the opportunity of international meetings. IMAPS assume the publication for its members who write technical items in professional magazines. IMAPS organize regularly technical meetings and forums where are presented new materials and components, new technologies as well as development, production and test equipments. IMAPS actors are engineers and technicians of SME s or big companies, searchers in independent, university or public laboratories, representatives or distributors working in the following fields: aeronautics and space, military, telecommunication, computer industry, transportation, scientific experimentation PM Conference closure PM Lunch NEXT EVENTS Technical Day on Connectic October 23 rd, 2008 Novotel La Defense, Paris - France 4 th European Advanced Technology Workshop on Micropackaging and Thermal Management February 4 th & 5 th, 2009 Mercure, La Rochelle - France

5 ARCSIS MICROPACKAGING DAYS 2008 For the last six years, ARCSIS has organized a number of technical and scientific days dedicated to Micropackaging, which is one of the industrial strengths of the Provence-Alps- Riviera region. This event will take place on December 4 th & 5 th, 2008 and is open to national and international microelectronics key actors working in this field. OBJECTIVES This meeting will consist of scientific and technical conferences presented by experts from Universities, research centres and industry. It will also provide the opportunity for suppliers and users to: become familiar with the most recent technical advances in micropackaging and advanced assembly techniques in particular new SIP packaging technologies (high density logic, RF blocks, analog sensors, new flexible substrates, very thin silicium, die to wafer stacking, new form factors, etc.), communicate on recent achievements in printed electronics, identify the needs of industry in diverse applications ranging from portable/mobile devices to medical microanalitycal systems, share information at the poster session and discuss the latest research results in an informal atmosphere, make new connections and open new prospects for partnership. LOCATION OF THE EVENT This Meeting will be hosted by the Provence Microelectronics Centre in Gardanne, a brand new education and research centre. It is located at the heart of a major Microelectronics cluster in France gathering leading manufacturers of semiconductor devices and smart cards. It is also 20kms from Aix en Provence, which is a city of art and culture, well-known for its architecture. Moreover, it is an ideal base for visiting the rest of Provence and its beautiful countryside all within a few kilometres: the Calanques, Camargue, the Luberon and the Riviera. For further information about Provence.

6 REGISTRATION FORM ARCSIS MICROPACKAGING DAYS 2008 Thin and Flexible Packaging PROVENCE MICROELECTRONICS CENTRE, GEORGES CHARPAK SITE, GARDANNE, FRANCE DECEMBER 4 th & 5 th, 2008 To send back by fax to: +33 (0) Deadline for registration: Friday, November 14 th, 2008 Company/ Organisation:... Last name, first name:... Function:... Activity: Company Research Center Organisation Address:... Zip code:... Town:... Country:... Phone:... Fax: I wish to attend: ARCSIS Micropackaging Days December 4 th & 5 th, 2008 Provence Microelectronics Centre, Gardanne (FRANCE) Dinner on December 4 th, 2008 I will pay by: Bank check to ARCSIS Bank Swift to BNP Aix-en-Provence BP 19 - Place Paul Borde Rousset Code banque : (France) Bank Code : FR I wish to receive: The list of hotels selected by ARCSIS The acces map to the Provence Microelectronics Centre (CMP-GC) REGISTRATION FEES ARCSIS MICROPACKAGING DAYS December 4 th & 5 th, 2008 (lunches, breaks and proceedings included) ARCSIS members 230 incl. VAT (200 incl. VAT for extra registrations) Non-members Deadline for registration: Friday, November 14 th, incl. VAT SCIENTIFIC STEERING COMMITTEE B. Dubois beatrice.dubois@gemalto.com Gemalto P. Collot collot@emse.fr Provence Microelectronics Centre L. Dossetto lucile.dossetto@gemalto.com Gemalto M. Thomas michel.thomas@gemalto.com CIM PACA Micro-PackS Platform P. Dessaux pdessaux@nbstech.com NBS Technologies O. Brunet olivier.brunet@s-p-s.com Smart Packaging Solutions CONTACT / INFORMATION Communication manager: Corinne Joachim Communication assistant: Coraline Hubin ARCSIS BP 19 - Place Paul Borde ROUSSET - FRANCE Tel: +33 (0) Fax: +33 (0) contact@arcsis.org Creation: / Photos: ARCSIS - Anne Loubet

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

rovence Microelectronics Center Georges Charpak Site Gardanne France

rovence Microelectronics Center Georges Charpak Site Gardanne France rovence Microelectronics Center Georges Charpak Site Gardanne France «Ecole des Mines» Group Key figures: 1783, Foundation of the Ecole Royale des Mines de Paris 1816, Foundation of the Ecole des Mines

More information

TAIPRO Engineering. Speaker: M. Saint-Mard Managing director. TAIlored microsystem improving your PROduct

TAIPRO Engineering. Speaker: M. Saint-Mard Managing director. TAIlored microsystem improving your PROduct TAIPRO Engineering MEMS packaging is crucial for system performance and reliability Speaker: M. Saint-Mard Managing director TAIPRO ENGINEERING SA Michel Saint-Mard Administrateur délégué m.saintmard@taipro.be

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

IMAPS Advanced Technology Conference and Tabletop Exhibition on Non-Hermetic Packaging Technology for Reliable Microelectronics

IMAPS Advanced Technology Conference and Tabletop Exhibition on Non-Hermetic Packaging Technology for Reliable Microelectronics IMAPS Advanced Technology Conference and Tabletop Exhibition on Non-Hermetic Packaging Technology for Reliable Microelectronics Rosen Centre Hotel Orlando, Florida - USA JANUARY 18, 2012 Final Program

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

ClusterNanoRoad

ClusterNanoRoad ClusterNanoRoad 723630 Expert Advisory Board Meeting Brussels April 11th, 2018 WP1 ClusterNanoRoad (723630) VALUE CHAIN OPPORTUNITIES: mapping and benchmarking of Cluster-NMBP RIS3 good practices [M1-M7]

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Developing Smart Miniaturized Solutions for Industry

Developing Smart Miniaturized Solutions for Industry Developing Smart Miniaturized Solutions for Industry Micro nanotechnologies & Embedded Software Competitive Cluster - Grenoble / France www.minalogic.com Born in Grenoble 1 st french scanner (1972) Airbag

More information

SENSO «Advanced Sciences and Technologies for IoT» PROGRAM. November 16 > 17 > 18, 2016

SENSO «Advanced Sciences and Technologies for IoT» PROGRAM. November 16 > 17 > 18, 2016 SENSO 2016 «Advanced Sciences and Technologies for IoT» November 16 > 17 > 18, 2016 Centre Microélectronique de Provence, Gardanne, Aix en Provence area (France) PROGRAM Program Wednesday, November 16,

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

MELTBLOWN / SPUNBOND

MELTBLOWN / SPUNBOND MELTBLOWN / SPUNBOND 20 21 FEBRUARY 2019 13 14 NOVEMBER 2019 CETI France Keep abreast of developments in the manufacture, conversion and applications of nonwovens. The Nonwovens Learning Cycle, organised

More information

EU Research project proposals (call for Finnish companies)

EU Research project proposals (call for Finnish companies) EU Research project proposals (call for Finnish companies) 11th EEMELI Workshop 29 th August 2012 Jukka Hast Research Professor VTT Technical Research Centre of Finland 04/10/2012 2 General ICT theme work

More information

INVEST IN CÔTE D AZUR A European leader in chip design

INVEST IN CÔTE D AZUR A European leader in chip design INVEST IN CÔTE D AZUR A European leader in chip design Leading IT innovation since 1959 CÔTE D AZUR AN ACTIVE NETWORK FOR YOUR BUSINESS INNOVATE FASTER INTERACT EASIER A top destination in France for foreign

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly

Advanced Packaging - Pulsed-laser Heating for Flip Chip Assembly Page 1 of 5 Pulsed-laser Heating for Flip Chip Assembly A stress-free alternative By Thorsten Teutsch, Ph.D., Pac Tech USA, Elke Zakel, Ph.D., and Ghassem Azdasht, Pac Tech GmbH As flip chip applications

More information

Smart Devices of 2025

Smart Devices of 2025 Smart Devices of 2025 Challenges for Packaging of Future Device Technologies Steve Riches/Kevin Cannon Tribus-D Ltd CW Workshop 27 March 2018 E:mail: info@tribus-d.uk M: 07804 980 954 Assembly Technology

More information

Case Study: the HTA Alliance

Case Study: the HTA Alliance Case Study: the HTA Alliance Dr. CEO 4-Labs S.A Jean Frederic Clerc VP Carnot Institutes VP CEA-DRT 8-Oct-09 Page 0 Context Europe has a leading position in embedded systems, & embedded systems are more

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

MELTBLOWN / SPUNBOND

MELTBLOWN / SPUNBOND MELTBLOWN / SPUNBOND 05 06 DECEMBER 2018 20 21 FEBRUARY 2019 13 14 NOVEMBER 2019 CETI France Keep abreast of developments in the manufacture, conversion and applications of nonwovens. The Nonwovens Learning

More information

We give vision to all surfaces Plastic Electronics Dresden.

We give vision to all surfaces Plastic Electronics Dresden. We give vision to all surfaces Plastic Electronics Dresden www.isorg.fr laurent.jamet@isorg.fr 1 When Printed Electronics meet Design, Usages and Brands for eye catching and appealing products Company

More information

2 nd and Final Announcement

2 nd and Final Announcement 2 nd and Final Announcement Workshop Information The International Workshop on Superconducting Radio Frequency (SRF) devices was founded in 1983 as a platform of communication for the application of superconductivity

More information

Heterogeneous Technology Alliance. SOI MEMS Platform

Heterogeneous Technology Alliance. SOI MEMS Platform Heterogeneous Technology Alliance SOI MEMS Platform Added value of HTA SOI MEMS Platform to customers 23-Aug-11 Page 1 Attractive offering of HTA SOI MEMS Platform One-stop shop 1 Very extensive R&D resources,

More information

Work Package 73. Second Report on Dissemination and Promotion of Project results. Deliverable D73.5

Work Package 73. Second Report on Dissemination and Promotion of Project results. Deliverable D73.5 ICT-STREPT-247710 Interconnection Technologies for Flexible Systems Work Package 73 Second Report on Dissemination and Promotion of Project results Responsible Partner: Contributors: Dissemination Level:

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

Fiber-optic transceivers for multi-gigabit interconnects in space systems

Fiber-optic transceivers for multi-gigabit interconnects in space systems VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD Photo: ESA Fiber-optic transceivers for multi-gigabit interconnects in space systems at EPIC Tech Watch of Micro Photonics Expo, Berlin, 11 Oct 2016 Mikko Karppinen(mikko.karppinen@vtt.fi)

More information

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 3 of 38 30/06/2011 1. FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 4 of 38 30/06/2011 EUROSOI+- FP7-216373 5 of 38 30/06/2011 The main and last objective of EUROSOI Network

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Application of 3D PLUS WDoD technology for the manufacturing of electronic modules 25/02/2017 for implantable medical products

Application of 3D PLUS WDoD technology for the manufacturing of electronic modules 25/02/2017 for implantable medical products Application of 3D PLUS WDoD TM technology for the manufacturing of electronic modules for implantable medical products By Dr Pascal Couderc 1, Karima Amara², Frederic Minault 2 3D PLUS 1 408, Rue Hélène

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Innovative antimicrobial additive for building finishing materials

Innovative antimicrobial additive for building finishing materials Technology Offer Innovative antimicrobial additive for building finishing materials Summary A university spin-off from Latvia has developed an innovative, environmentally friendly type of antimicrobial

More information

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES

SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES 011 1111 1 0000 0000 01 1011 1111 111 1010 1101 001 0111 1111 100 1101 0010 00 SILICON EUROPE THE LEADERS FOR INNOVATIVE ELECTRONICS & SOFTWARE TECHNOLOGIES WHAT CAN DO FOR YOU? A one-stop shop for creative

More information

PRESS KIT. High Accuracy Device Bonder with Robotics.

PRESS KIT. High Accuracy Device Bonder with Robotics. PRESS KIT High Accuracy Device Bonder with Robotics Press Announcement SET Introduces FC300R High Accuracy Device Bonder with Robotics FC300R: an Easy-to-Use Production Platform Ideal for High Accuracy

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Shining a Light on Imaging

Shining a Light on Imaging / INVEST IN GRENOBLE-Isère, FRANCE / Shining a Light on Imaging Imaging in Grenoble-Isère France: 50 years of expertise In the heart of France s #2 region, Auvergne-Rhône-Alpes Paris PARIS Geneva Genève

More information

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Inkjet Filling of TSVs with Silver Nanoparticle Ink Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Outline Motivation for this study Inkjet in MEMS fabrication

More information

EURIPIDES² EURIPIDES², Smart Electronic Systems

EURIPIDES² EURIPIDES², Smart Electronic Systems EURIPIDES² 2016 EURIPIDES², Smart Electronic Systems Summary 1. I EUREKA Clusters 2. I EURIPIDES² scope 3. I International Board 4. I Winning proposal 5. I Call process 6. I Funding 7. I Success stories

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications Compression Molding Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications 1. Company Introduction 2. Package Development Trend 3. Compression FFT Molding

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

Accelerating Scale Up of Large Area Electronics

Accelerating Scale Up of Large Area Electronics Accelerating Scale Up of Large Area Electronics Duncan Lindsay Business Development Director, CPI 2015 Centre for Process Innovation Limited. All Rights Reserved. Who are CPI? CPI is a UK technology innovation

More information

WLCSP and FlipChip Production Bumping Using Electroless Ni/Au Plating And Wafer Level Solder Sphere Transfer Technologies

WLCSP and FlipChip Production Bumping Using Electroless Ni/Au Plating And Wafer Level Solder Sphere Transfer Technologies WLCSP and FlipChip Production Bumping Using Electroless Ni/Au Plating And Wafer Level Solder Sphere Transfer Technologies Andrew Strandjord, Jing Li, Axel Scheffler, and Thorsten Teutsch PacTech - Packaging

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Industrial technology Innovation for success Customized solutions for industrial applications

Industrial technology Innovation for success Customized solutions for industrial applications Industrial technology Innovation for success Customized solutions for industrial applications Innovation for success Challenges in the development and production of industrial applications Technological

More information

Case Law Seminar. epi - EPO roadshow for professional representatives. Programme. 29 October 2018 Paris, France

Case Law Seminar. epi - EPO roadshow for professional representatives. Programme. 29 October 2018 Paris, France Case Law Seminar epi - EPO roadshow for professional representatives Programme 29 October 2018 Paris, France Monday, 29 October 2018 8.30 9.00 Registration 9.00 10.45 Claims Disclaimers (G2/03, G2/10,

More information

4 th year vocational modules

4 th year vocational modules 75, avenue de Grande-Bretagne CS97615 31026 Toulouse Cedex 3 Tel : 05 34 50 50 50 Fax: 05 34 50 50 51 www.icam.fr 4 th year vocational modules I4-8 Presentation Toulouse Higher education Professional development

More information

Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining

Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining 1 Laser Assisted Flip Chip Assembly for LCD Applications using ACP and NCP Adhesive Joining Elke Zakel, Ghassem Azdasht, Thorsten Teutsch *, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH

More information

RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS. Jean-René Lequepeys. Leti Devices Workshop December 3, 2017

RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS. Jean-René Lequepeys. Leti Devices Workshop December 3, 2017 RF DEVICES: BREAKTHROUGHS THANKS TO NEW MATERIALS Jean-René Lequepeys CELLULAR RF MARKETS RF cellular markets are still progressing Smartphones remain the main driver Declining growth rate but more complex

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

For personal use only

For personal use only For personal use only 7 JUNE 2017 ASX MEDIA RELEASE Nanocube Memory Ink Development Progress Strategic Elements Ltd (ASX : SOR) is pleased to provide an update on the Nanocube Memory ink invented by the

More information

Manufacturing Excellence. Telegärtner UK. Cable Assemblies Services & Capabilities

Manufacturing Excellence. Telegärtner UK. Cable Assemblies Services & Capabilities Manufacturing Excellence Telegärtner UK Cable Assemblies Services & Capabilities Telegärtner UK Manufacturing Excellence Telegärtner UK has an excellent reputation for providing high quality, competitively

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

Phone: 33 (0)

Phone: 33 (0) Phone: 33 (0)1 69 07 70 71 Email : contact@systronic.com Introduction Systronic is dedicated to the manufacture of high reliability printed circuits for space, aeronautic and medical industries. 2000 sqm

More information

CREST Cluster Focus & Projects. 23rd February 2015

CREST Cluster Focus & Projects. 23rd February 2015 CREST Cluster Focus & Projects 23rd February 2015 Domain Areas Clusters focus 1. Optoelectronics/LED and Solid State Lighting 2. Embedded System & Internet of Things 3. IC Design, Test & Validation 4.

More information

MagnaChip Semiconductor and SENIS sign patent licence agreement

MagnaChip Semiconductor and SENIS sign patent licence agreement 1 of 5 9/11/2014 1:56 PM SENIS AG provides magnetic field measurement instruments, current sensors and corresponding services. September 2014 Content MagnaChip Semiconductor and SENIS AG sign patent licence

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Strategy for Building Innovative Cluster in Sweden: A Study on Kista Science City

Strategy for Building Innovative Cluster in Sweden: A Study on Kista Science City Strategy for Building Innovative Cluster in Sweden: A Study on Kista Science City Sang-Chul Park, Korea Polytechnic University/ Korea Advanced Institute of Science and Technology (KAIST)/Gothenburg University,

More information

Curriculum Vitae. Claude Barral Expert Security Scientist

Curriculum Vitae. Claude Barral Expert Security Scientist Curriculum Vitae Expert Security Scientist Address n o 6 La Bastide Samat 13119 Saint Savournin, France Mobile : +33.6.86.83.19.55 Email: claude.barral@gmail.com Email: claude.barral@bactech.fr Homepage:

More information

Plastic Electronics Market Development. by Mr. Ed van den Kieboom Executive Board Member Plastic Electronics Foundation

Plastic Electronics Market Development. by Mr. Ed van den Kieboom Executive Board Member Plastic Electronics Foundation Plastic Electronics Market Development by Mr. Ed van den Kieboom Executive Board Member Plastic Electronics Foundation Plastic Electronics Foundation Established April 2005 Private non profit organization

More information

Figure 7. Hot Carrier Damage Tracks the P-well Current.

Figure 7. Hot Carrier Damage Tracks the P-well Current. Hot Carrier Degradation Physics By Christopher Henderson One useful technique to indirectly observe the damage created by hot carriers is to measure the p-well current. The p-well current closely tracks

More information

R&D Requirements from the 2004 inemi Roadmap. April 7, 2005 Dr. Robert C. Pfahl, Jr. VP of Operations, inemi

R&D Requirements from the 2004 inemi Roadmap. April 7, 2005 Dr. Robert C. Pfahl, Jr. VP of Operations, inemi R&D Requirements from the 2004 inemi Roadmap April 7, 2005 Dr. Robert C. Pfahl, Jr. VP of Operations, inemi Topics Covered Overview of inemi and the 2004 Roadmap Situation Analysis Highlights from the

More information

Digital innovation competences = apprx. 1/3 of income TRL 1-8 specific focus TRL 4+

Digital innovation competences = apprx. 1/3 of income TRL 1-8 specific focus TRL 4+ (since 1949) The largest Slovenian scientific research institute in this part of Europe 1000 employes, 420 ongoing international projects Slovenia on EU KET and DIH map Key Enabling Technologies Technology

More information

Factsheet Information for exhibitors / 2019

Factsheet Information for exhibitors / 2019 Connecting Global Competence Factsheet Information for exhibitors / 2019 Planet e: Bringing the future to the world. International Trade Fair for Electronic Components, Systems and Applications Shanghai

More information

"Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers"

Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8 and 12 Wafers 1 "Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers" Elke Zakel, Thomas Oppert, Ghassem Azdasht, Thorsten Teutsch * Pac Tech Packaging Technologies GmbH Am Schlangenhorst

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

WP Topic LEIT ICT 3 Advanced TOLAE technologies

WP Topic LEIT ICT 3 Advanced TOLAE technologies Brussels, 14 February 2014 Information and Networking Day WP 2014-15 Topic LEIT ICT 3 Advanced TOLAE technologies Philippe Reynaert and Andreas Lymberis Project Officer, Unit A1 and A4, DG CONNECT European

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

the world leader in metrology of piezoelectrics expanding into new areas!

the world leader in metrology of piezoelectrics expanding into new areas! aixacct Systems GmbH Talbotstr. 25 52068 Aachen Germany Phone: +49 (0) 241-47 57 03 0 Fax: +49 (0) 241-47 57 03 66 www.aixacct.com info@aixacct.com Welcome to aixacct Systems, the world leader in metrology

More information

New wafer level stacking technologies and their applications

New wafer level stacking technologies and their applications New wafer level stacking technologies and their applications WDoD a new 3D PLUS technology Timothee Dargnies 3D PLUS USA Santa Clara, CA 1 Table of Contents Review of existing wafer level assembly processes

More information

Laser Solder Attach for Optoelectronics Packages

Laser Solder Attach for Optoelectronics Packages 1 Laser Solder Attach for Optoelectronics Packages Elke Zakel, Lars Titerle, Thomas Oppert, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17, Germany Phone:+ 49 (0) 33

More information

LECHTENBERG & PARTNER. Workshop. Production, Storage, Dosing & Feeding of Refuse Derived Fuels

LECHTENBERG & PARTNER. Workshop. Production, Storage, Dosing & Feeding of Refuse Derived Fuels LECHTENBERG & PARTNER Workshop Production, Storage, Dosing & Feeding of Refuse Derived Fuels 24 th and 25 th March 2011 Mülheim an der Ruhr, Germany MVW - Lechtenberg Projektentwicklungs- und Beteiligungsgesellschaft

More information

WAFER-LEVEL SOLDER SPHERE PLACEMENT AND ITS IMPLICATIONS

WAFER-LEVEL SOLDER SPHERE PLACEMENT AND ITS IMPLICATIONS WAFER-LEVEL SOLDER SPHERE PLACEMENT AND ITS IMPLICATIONS Andrew Strandjord, Thomas Oppert, Thorsten Teutsch, and Ghassem Azdasht PacTech - Packaging Technologies, Inc. Am Schlangenhorst 15-17 14641 Nauen,

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES Simon Perraud, Ph.D. Vice president for European affairs ABOUT LITEN Liten is the research institute of CEA devoted to clean energy

More information

We Make Semi-finished Components.

We Make Semi-finished Components. Express Glass Services We Make Semi-finished Components. Out of optical glass, filter glass, synthetic quartz glass and specialty glasses or glass ceramics. Express Glass Services EGS Express Glass Services

More information

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual

Assembly/Packagng RF-PCB. Thick Film. Thin Film. Screening/Test. Design Manual Thick Film Thin Film RF-PCB Assembly/Packagng Screening/Test Design Manual RHe Design Manual The following rules are effective for the draft of circuit boards and hybrid assemblies. The instructions are

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

ICT Micro- and nanoelectronics technologies

ICT Micro- and nanoelectronics technologies EPoSS Proposers' Day, 2 Feb 2017, Brussels ICT 31-2017 Micro- and nanoelectronics technologies Eric Fribourg-Blanc, Henri Rajbenbach, Andreas Lymberis European Commission DG CONNECT (Communications Networks,

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

5G Systems and Packaging Opportunities

5G Systems and Packaging Opportunities 5G Systems and Packaging Opportunities Rick Sturdivant, Ph.D. Founder and Chief Technology Officer MPT, Inc. (www.mptcorp.com), ricksturdivant@gmail.com Abstract 5G systems are being developed to meet

More information

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics February 10, 2011 Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics Presentation overview -General overview -Research focus < 4 Holst Centre: a solid partner in research Independent,

More information

Who we are. was born in 2006 as Spin-Off of Politecnico of Torino. Full time people employed 8. Laboratories and facilities 300 m 2

Who we are. was born in 2006 as Spin-Off of Politecnico of Torino. Full time people employed 8. Laboratories and facilities 300 m 2 Who we are was born in 2006 as Spin-Off of Politecnico of Torino Full time people employed 8 Laboratories and facilities 300 m 2 Administration and offices 250 m 2 Consolidated Turnover more then 600k

More information

Trends in Advanced Packaging Technologies An IMAPS UK view

Trends in Advanced Packaging Technologies An IMAPS UK view Trends in Advanced Packaging Technologies An IMAPS UK view Andy Longford Chair IMAPS UK 2007 9 PandA Europe IMAPS UK IeMRC Interconnection event December 2008 1 International Microelectronics And Packaging

More information

Picosecond Ultrasonics: a Technique Destined for BAW Technology

Picosecond Ultrasonics: a Technique Destined for BAW Technology 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonics: a Technique Destined for BAW Technology Patrick EMERY 1,

More information

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Mar-2017 Presentation outline Project key facts Motivation Project objectives Project

More information

Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS)

Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS) Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS) Course Description: Most companies struggle to introduce new lines and waste countless manhours and resources

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

CEA-Liten S2S printing platform for Organic CMOS and Integrated Smart Systems applications

CEA-Liten S2S printing platform for Organic CMOS and Integrated Smart Systems applications CEA-Liten S2S printing platform for Organic CMOS and Integrated Smart Systems applications Isabelle Chartier R. Coppard, R Gwoziecky, M Benwadih, C Serbutoviez, A.Daami, J.M.Verilhac, A.Seiler, C. Bory,

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

EURIPIDES Office 17, rue de l Amiral HAMELIN Paris cedex 16 FRANCE SAB - STRATEGY RESEARCH AGENDA EURIPIDES. September 2010 version 1

EURIPIDES Office 17, rue de l Amiral HAMELIN Paris cedex 16 FRANCE SAB - STRATEGY RESEARCH AGENDA EURIPIDES. September 2010 version 1 EURIPIDES Office 17, rue de l Amiral HAMELIN 75783 Paris cedex 16 FRANCE EURIPIDES SAB - STRATEGY RESEARCH AGENDA September 2010 version 1 INTRODUCTION AND EURIPIDES POSITION INSIDE EUREKA EUREKA and its

More information