6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

Size: px
Start display at page:

Download "6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]"

Transcription

1 camline Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive edges and sustaining revenues; at the same time, meeting needs and creating values for their customers through continuous quality improvement. camline QM system is certified according to DIN EN ISO 9001:2008. As an IT solutions provider, camline provides excellent technological development and leadership in software, offering excellent professional support and valuable experiences in the production processes across the entire supply chain, thereby enhancing and value-adding to the manufacturers productivity, effectiveness and efficiency of their executions. In particular, camline is specialized in: designing and implementing individualized software solutions; consulting, training and multi-tier support in different time-zones; the area-wide connection of production equipment camline Pte Ltd is a subsidiary of camline GmbH, Germany.

2 CLEAN SYSTEMS Technology (S) Pte Ltd Booth 20 EXHAUST GAS ABATEMENT FOR SEMICONDUCTOR AND OTHER HIGH-TECH MANUFACTURING INDUSTRIES Safety and The Environment For CS CLEAN SOLUTIONS, environmental protection is the essence of our business. Our eco-friendly CLEANSORB technology safely removes hazardous waste gases without consuming energy, water or fuel. There are no secondary emissions to the environment in the form of waste water or NOx. Moreover, all of our products are designed for lifetime serviceability and re-use.

3 Crest Innovation (S) Pte Ltd Booth 18 Crest was founded in 1999 specialising in optical microscopy, microfocus x-ray inspection system and failure analysis solution provider. Since its inception, Crest Group of companies has grown to a team of more than 110 employees with a strong regional reputation of having the largest technical support group in South East Asia specializing in advance microscopy imaging and complex analytical x-ray solutions. Together with our global key partners, Crest strives to provide our customers market leading technological solutions in enabling important discoveries, enhancing imaging and analytical capabilities as well as helping to achieve higher productivity.

4 EV Group (EVG) Austria Booth 17 EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports and elaborate network of global customers and partners all over the world. More information about EVG is available at

5 InnoLas Semiconductor GmbH Germany Booth 25 InnoLas Semiconductor GmbH is a Germany-based leading manufacturer of high quality Wafer ID Laser Marker and Wafer Sorter for the Semiconductor, Compound and LED Industries. Our products offer solutions for the following applications: Debris-free Soft Marking and High-roundness Hard Marking Optimized process for marking on Si, Sapphire, GaN, GaAs, InP, Ge, SiC, GaP, Quartz, Glass and many other materials. 2 to 200mm, 300mm and 450mm wafer size models are available Wafer sorting by wafer ID (standard) Wafer sorting by wafer thickness (at CMP, backgrind process) Wafer sorting by wafer weight (at etching process) Wafer sorting by defect inspection (at various inspection processes) 2 to 200mm, 300mm and 450mm wafer size models are available. For more information, please visit innolas-semiconductor.com for more information.

6 Nanyang Technological University (NTU) Booth 01 Researchers at VIRTUS, IC Design Centre of Excellence, Nanyang Technological University (NTU) have developed a novel Fully-Additive printing process, involving depositions-only (no subtractions/lift-off), for realizing printed full-electronics (active and passive components) circuits/systems on flexible plastic films. Our process is Green, On-Demand (quick-to-print), Scalable (large-format printing) and Low-Cost. Our Fully-Additive process features printed transistors with high (~1.5cm²/Vs) semiconductor carrier-mobility (~3x higher than competing state-of-the-art Fully- Additive processes) and the lowest variations both parameters are comparable to the substantially more expensive Subtractive processes. Further our process is All-Air Processed and Low-Temperature, allowing for printing on low cost temperaturesensitive substrates/films. The potential applications include smart wallpapers, medical devices, and sensors. About VIRTUS: VIRTUS is an IC Design Centre of Excellence jointly funded by NTU and the Economic Development Board (EDB), is a world-class IC/SoC design house, developing key technologies required to design IC and SoCs for a myriad of applications, including medical technology, clean technology, aerospace/satellite, consumer electronics, etc.

7 NovaCentrix Austin, USA Booth 13 and 14 NovaCentrix offers industry leading photonic curing tools, material and expertise enabling development and production of next generation printed electronic devices some already on the market. PulseForge tools utilize photonic curing which is a cutting edge technology that dries, sinters, and anneals functional inks in milliseconds on low-temperature, flexible substrates such as paper and plastic.

8 TDC / ITE College Central Booth 2 TDC@Central (Technology Development Centre) is one of s leading R&D facility known for its multidisciplinary and practical approach to today s product and engineering needs. TDC occupies a total floor area of about 2,400 square metres, housing over S$10m in R&D and engineering equipment. Its expertise includes: 1) IT & Embedded 2) Microelectronics Packaging & Assembly 3) Materials Development 4) Systems Integration & Prototyping 5) Business Development

9 TIBCO Software Inc. Booth 26 TIBCO Software takes businesses to their digital destinations by interconnecting everything in real time and providing augmented intelligence for everyone, from business users to data scientists. This combination delivers faster answers, better decisions, and smarter actions. For nearly 20 years, thousands of businesses around the globe have relied on TIBCO technology to differentiate themselves through compelling customer experiences, optimized assets, and innovative new business models. Learn how TIBCO brings data alive at

10 UCT Addictive Manufacturing Center Pte Ltd Booth 23 UCT Addictive Manufacturing Center, a division of UCT (Nasdaq: UCTT) launched the largest 3D printing facility in Southeast Asia in 2015, leveraging on the extensive traditional manufacturing experience of the company. The UCT Addictive Manufacturing Center has numerous types of 3D printing technologies that allow us to print in metal, plastic, resin, wax and sandstone. We are offering a unique portfolio of additive manufacturing solutions enabling our industrial customers to benefit from the forefront of technology. Come visit us at Booth #23 for the ASTC/FLEX SEA 2016 event where our consultants will share with you 3D printed complex industrial parts that are impossible to manufacture using traditional method.

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4]

6-7 October Marina Bay Sands Expo & Convention Centre Peony Ballroom [Level 4] camline http://www.camline.com Booth 22 camline s mission is to provide the highest quality software solutions for factory automation and logistics, helping global manufacturers maintain their competitive

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

Strength through cooperation VDL ETG

Strength through cooperation VDL ETG Strength through cooperation VDL ETG VDL ETG VDL ENABLING TECHNOLOGIES GROUP IS A GLOBAL PROVIDER OF COMPLEX AND INNOVATIVE MECHATRONIC SYSTEMS. FOUNDED IN 1900 AS PHILIPS MACHINEFABRIEKEN, VDL ETG NOW

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah

Wah Lee Industrial Corp. was founded in In order to integrate the industry development of Taiwan with the overall operation requirement of Wah 2010 10 Wah Lee Industrial Corp. was founded in 1968. In order to integrate the industry development of Taiwan with the overall operation requirement of Wah Lee, we defined our mission as "Introduce and

More information

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES 1. Singapore, 25 March, 2013 -- The Institute of Microelectronics

More information

Mechatronics. Bring the challenge. We ll build the solution.

Mechatronics. Bring the challenge. We ll build the solution. Mechatronics Bring the challenge. We ll build the solution. VALUE-ADDED ENCODER ASSEMBLIES CUSTOMIZED ROTARY STAGES LINEAR AND CURVED STAGES VOICE COIL STAGES ROBOTIC JOINTS CUSTOMIZED ELECTRONICS, CABLING

More information

National Instruments Accelerating Innovation and Discovery

National Instruments Accelerating Innovation and Discovery National Instruments Accelerating Innovation and Discovery There s a way to do it better. Find it. Thomas Edison Engineers and scientists have the power to help meet the biggest challenges our planet faces

More information

controlled energy Å V^

controlled energy Å V^ controlled energy Å V^ Interview with Ralf Schwarzkopf, CEO of Hotset GmbH What are the reasons for these changes? And this has changed hotset s self-perception? hotset is presenting itself with a new

More information

GaN is Finally Here for Commercial RF Applications!

GaN is Finally Here for Commercial RF Applications! GaN is Finally Here for Commercial RF Applications! Eric Higham Director of GaAs & Compound Semiconductor Technologies Strategy Analytics Gallium Nitride (GaN) has been a technology with so much promise

More information

Silicon carbide Semiconductor Products

Silicon carbide Semiconductor Products Power Matters. Silicon carbide Semiconductor Products Low Switching Losses High Power Density High Thermal Conductivity Reduced Heat Sink Requirements High Temperature Operation Reduced Circuit Size and

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process H.Stieglauer 1, J.Nösser 1, A.Miller 1, M.Lanz 1, D.Öttlin 1, G.Jonsson 1, D.Behammer 1, C.Landesberger 2,

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

Infineon at a glance

Infineon at a glance Infineon at a glance 2017 www.infineon.com We make life easier, safer and greener with technology that achieves more, consumes less and is accessible to everyone. Microelectronics from Infineon is the

More information

microelectronics services high-tech requires high-precision microelectronics services

microelectronics services high-tech requires high-precision microelectronics services ELECTRICAL & ELECTRONICS microelectronics services high-tech requires high-precision microelectronics services WORLDWIDE Analysis, TESTING & CERTIFICATION LOCALLY AVAILABLE worldwide Electrical and electronic

More information

DESIGN THROUGH DELIVERY

DESIGN THROUGH DELIVERY DESIGN THROUGH DELIVERY Theta TTS has a reputation for effectively manufacturing difficult and complex metalformed parts that meet stringent quality standards. My father founded this company in 1962 on

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

Industry 4.0. Advanced and integrated SAFETY tools for tecnhical plants

Industry 4.0. Advanced and integrated SAFETY tools for tecnhical plants Industry 4.0 Advanced and integrated SAFETY tools for tecnhical plants Industry 4.0 Industry 4.0 is the digital transformation of manufacturing; leverages technologies, such as Big Data and Internet of

More information

Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035

Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035 Die Prep Considerations for IC Device Applications CORWIL Technology 1635 McCarthy Blvd Milpitas, CA 95035 Jonny Corrao Die Prep While quality, functional parts are the end goal for all semiconductor companies,

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Accelerating Scale Up of Large Area Electronics

Accelerating Scale Up of Large Area Electronics Accelerating Scale Up of Large Area Electronics Duncan Lindsay Business Development Director, CPI 2015 Centre for Process Innovation Limited. All Rights Reserved. Who are CPI? CPI is a UK technology innovation

More information

Industrial technology Innovation for success Customized solutions for industrial applications

Industrial technology Innovation for success Customized solutions for industrial applications Industrial technology Innovation for success Customized solutions for industrial applications Innovation for success Challenges in the development and production of industrial applications Technological

More information

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC

Research & Development in Delaware. Delaware. Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC Research & Development in Delaware Olivier Letessier, VP R&D Air Liquide Henri Chevrel, VP R&D Americas Air Liquide THIS DOCUMENT IS PUBLIC I AIR LIQUIDE, LE LEADER MONDIAL DES GAZ, TECHNOLOGIES ET SERVICES

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Silicon carbide Semiconductor Products

Silicon carbide Semiconductor Products Power Matters. Silicon carbide Semiconductor Products Low Switching Losses High Power Density High Thermal Conductivity Reduced Heat Sink Requirements High Temperature Operation Reduced Circuit Size and

More information

Beam Shaping Excellence

Beam Shaping Excellence Beam Shaping Excellence 300 patents 200 employees 13 countries 2 Every photon. In the right place, at the right time. LIMO: The world of beam shaping Whether it's materials processing, illumination or

More information

MID Manufacturing Process.

MID Manufacturing Process. 3D Aerosol Jet Printing An Emerging MID Manufacturing Process. Dr. Martin Hedges Neotech Services MTP, Nuremberg, Germany info@neotechservices.com Aerosol Jet Printing Aerosol Jet Process Overview Current

More information

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES Simon Perraud, Ph.D. Vice president for European affairs ABOUT LITEN Liten is the research institute of CEA devoted to clean energy

More information

ACCELERATING THE FUTURE OF SEMICONDUCTORS

ACCELERATING THE FUTURE OF SEMICONDUCTORS ACCELERATING THE FUTURE OF SEMICONDUCTORS 14 PRODUCTION FACILITIES 7R&D FACILITIES 10+ COUNTRIES VERSUM MATERIALS BY THE NUMBERS* *Fiscal year ending September 30, 2018. 250+ CUSTOMERS CORE INDUSTRIES

More information

MATERIALS CENTER LEOBEN FORSCHUNG GMBH. Microelectronics CERTIFIED S O EXPERTISE & RELIABILITY

MATERIALS CENTER LEOBEN FORSCHUNG GMBH. Microelectronics CERTIFIED S O EXPERTISE & RELIABILITY MATERIALS CENTER LEOBEN FORSCHUNG GMBH We Innovate Materials Microelectronics EXPERTISE & RELIABILITY I ISO 9001 CERTIFIED S O 0 9 1 0 MATERIALS CENTER LEOBEN MICROELECTRONICS Microelectronics We Innovate

More information

Novel Green Lasers Revolutionize Cutting of Polycrystalline Diamonds

Novel Green Lasers Revolutionize Cutting of Polycrystalline Diamonds Novel Green Lasers Revolutionize Cutting of Polycrystalline Diamonds Lasers in Action Forum, LASYS 2018, Stuttgart Christian Hahn, InnoLas Photonics GmbH, 2018-06-05 Overview Company Introduction Synthetic

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper

Hiding In Plain Sight. How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects. A Sonix White Paper Hiding In Plain Sight How Ultrasonics Can Help You Find the Smallest Bonded Wafer and Device Defects A Sonix White Paper If You Can See It, You Can Solve It: Understanding Ultrasonic Inspection of Bonded

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

CREST Cluster Focus & Projects. 23rd February 2015

CREST Cluster Focus & Projects. 23rd February 2015 CREST Cluster Focus & Projects 23rd February 2015 Domain Areas Clusters focus 1. Optoelectronics/LED and Solid State Lighting 2. Embedded System & Internet of Things 3. IC Design, Test & Validation 4.

More information

LED Cost and Technology Trends: How to enable massive adoption in general lighting

LED Cost and Technology Trends: How to enable massive adoption in general lighting LED Cost and Technology Trends: How to enable massive adoption in general lighting SEMICON West 2011 Moscone Center, San Francisco June 13 th 2011 Lumileds Lumileds OSRAM Aixtron CREE OSRAM OKI OSRAM 45

More information

Kistler Instrumente GmbH has new German headquarters.

Kistler Instrumente GmbH has new German headquarters. Press Release Kistler Instrumente GmbH has new German headquarters. Following a long phase of planning, preparations, and conversion work, Kistler Instrumente GmbH has a new home for its German headquarters

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Solutions for the Industrial and Safety Markets

Solutions for the Industrial and Safety Markets Solutions for the Industrial and Safety Markets Your business makes the world a better place. You increase food quality, ensure product integrity and strengthen public safety. In a highly competitive global

More information

Open Innovation to Manage Risks in Technology The Business of Breakthroughs

Open Innovation to Manage Risks in Technology The Business of Breakthroughs Open Innovation to Manage Risks in Technology The Business of Breakthroughs Janos Veres, Program Manager 2016 PARC, All rights reserved. PARC Legacy: A Storied History of Inventing the Future 1970 1973

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

NELA Brüder Neumeister GmbH

NELA Brüder Neumeister GmbH Vision Inspection Systems NELA Brüder Neumeister GmbH Your Worldwide Partner for Automatic Optical Inspection and Sorting Systems see. control. automate. HISTORICAL MILESTONES 1938 Ernst and Bernhard Neumeister

More information

Tailor-made R&D Services: Our Areas of Application

Tailor-made R&D Services: Our Areas of Application One-Stop-Shop for Technologies and Systems Tailor-made R&D Services: Our Areas of Application Digital Industry: More than Developing Products The traditional industry sector is undergoing a rapid transition

More information

EU's contribution to research and innovation in Electronics

EU's contribution to research and innovation in Electronics EU's contribution to research and innovation in Electronics Henri.RAJBENBACH@ec.europa.eu Nikolaos.KYRLOGLOU@ec.europa.eu European Commission DG CONNECT (not legally binding presentation) SEMICON Europa-Flex

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

Smart Devices of 2025

Smart Devices of 2025 Smart Devices of 2025 Challenges for Packaging of Future Device Technologies Steve Riches/Kevin Cannon Tribus-D Ltd CW Workshop 27 March 2018 E:mail: info@tribus-d.uk M: 07804 980 954 Assembly Technology

More information

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values.

Menu. Analog and Embedded Processing. TI at a glance. Innovation. Manufacturing. University and student engagement. Our commitment and values. Menu TI at a glance Analog and Embedded Processing Innovation Manufacturing Markets Financials University and student engagement Our commitment and values TI at a glance Global semiconductor design and

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

One-Stop-Shop for. Research Fab Microelectronics Germany

One-Stop-Shop for. Research Fab Microelectronics Germany Fraunhofer Group for Microelectronics One-Stop-Shop for Technologies and Systems Research Fab Microelectronics Germany The entire added-value chain for microelectronics and nanoelectronics from a single

More information

PRESS KIT. High Accuracy Device Bonder with Robotics.

PRESS KIT. High Accuracy Device Bonder with Robotics. PRESS KIT High Accuracy Device Bonder with Robotics Press Announcement SET Introduces FC300R High Accuracy Device Bonder with Robotics FC300R: an Easy-to-Use Production Platform Ideal for High Accuracy

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Silicon Carbide Semiconductor Products

Silicon Carbide Semiconductor Products Power Matters Silicon Carbide Semiconductor Products Low Switching Losses Low Gate Resistance High Power Density High Thermal Conductivity High Avalanche (UIS) Rating Reduced Heat Sink Requirements High

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

BARAN GROUP IS A LEADING GLOBAL ENGINEERING & CONSTRUCTION COMPANY THAT DELIVERS LARGE PROJECTS FOR A WIDE RANGE OF CLIENTS AROUND THE WORLD

BARAN GROUP IS A LEADING GLOBAL ENGINEERING & CONSTRUCTION COMPANY THAT DELIVERS LARGE PROJECTS FOR A WIDE RANGE OF CLIENTS AROUND THE WORLD BARAN GROUP IS A LEADING GLOBAL ENGINEERING & CONSTRUCTION COMPANY THAT DELIVERS LARGE PROJECTS FOR A WIDE RANGE OF CLIENTS AROUND THE WORLD barangroup.com FOUNDED AND CENTERED IN ISRAEL, BARAN S NAME

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE. 8 November 2017

MEDIA RELEASE FOR IMMEDIATE RELEASE. 8 November 2017 MEDIA RELEASE FOR IMMEDIATE RELEASE 8 November 2017 A*STAR IME S NEW MULTI-CHIP FAN-OUT WAFER LEVEL PACKAGING DEVELOPMENT LINE TO DRIVE INNOVATION AND GROWTH IN SEMICONDUCTOR INDUSTRY State-of-the-art

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Taking a broader view

Taking a broader view Taking a broader view A brief introduction to DNV GL 1 SAFER, SMARTER, GREENER We are a global classification, certification, technical assurance and advisory company 2 In a challenging world we make businesses

More information

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

BARAN GROUP IS AN ENGINEERING & DESIGN COMPANY THAT AIMS TO DELIVER LARGE PROJECTS FOR A WIDE RANGE OF CUSTOMERS AROUND THE GLOBE

BARAN GROUP IS AN ENGINEERING & DESIGN COMPANY THAT AIMS TO DELIVER LARGE PROJECTS FOR A WIDE RANGE OF CUSTOMERS AROUND THE GLOBE BARAN GROUP IS AN ENGINEERING & DESIGN COMPANY THAT AIMS TO DELIVER LARGE PROJECTS FOR A WIDE RANGE OF CUSTOMERS AROUND THE GLOBE barangroup.com FOUNDED AND CENTERED IN ISRAEL, BARAN S NAME IS A SIGNATURE

More information

IHP Innovations for High Performance Microelectronics

IHP Innovations for High Performance Microelectronics IHP Innovations for High Performance Microelectronics IHP - Innovations for High Performance Microelectronics in Frankfurt (Oder) is known for internationally acknowledged research at the highest level.

More information

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Pooran Joshi, Stephen Killough, and Teja Kuruganti Oak Ridge National Laboratory FIIW 2015 Displays and PV

More information

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS The entire added-value chain for microelectronics and nanoelectronics from a single provider The Research Fab Microelectronics

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

CAMOUFLAGE ANTENNAS.

CAMOUFLAGE ANTENNAS. CAMOUFLAGE ANTENNAS www.rosenbergerap.com Rosenberger HQ, Bavaria, Germany INTRODUCTION A Rosenberger Hochfrequenztechnik GmbH&Co. was founded in Germany in 1958 and ranks among the leading manufacturers

More information

Microwave and Microelectronics

Microwave and Microelectronics Microwave and Microelectronics MISSION SYSTEMS 2 BAE Systems Mission Systems Microwave and Microelectronics 3 Manufacturing Success Microwave and Microelectronics Mission Systems provides manufacturing

More information

ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS

ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS ADVANCED PLASTICS. CBRN DEFENSE SYSTEMS From mission critical breathing apparatus and medical device assemblies to life science and aerospace products, DWE has over 20 years of experience molding shared

More information

www.thomas-magnete.com History of the Company Milestones Thomas Magnete founded by Hermann Thomas Development of first proportional solenoid for hydraulic applications Thomas becomes an independent German

More information

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION

1.1 PHILOSOPHY OF MICRO/NANOFABRICATION CHAPTER Introduction 1 C H A P T E R C O N T E N T S 1.1 Philosophy of Micro/Nanofabrication... 1 1.2 The Industry Science Dualism... 5 1.3 Industrial Applications... 8 1.4 Purpose and Organization of

More information

Fluid Technology Automation Technology smart buildings Technology

Fluid Technology Automation Technology smart buildings Technology Fluid Technology Automation Technology smart buildings Technology THE PARTNER OF Higher Standards 002003 Hainzl Technology for Higher Standards Engineering & system competence 004-005 Production ServicE

More information

Volume 21 Issue IV News Review, News Analysis, Features, Research Review and much more.

Volume 21 Issue IV   News Review, News Analysis, Features, Research Review and much more. Volume 21 Issue IV 2015 @compoundsemi www.compoundsemiconductor.net Exposing a fl aw in p-type GaN Making MOSFETs for motoring Veeco A brighter future for LEDs Shares: The good, bad and ugly Instant imaging

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS)

Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS) Process Certification and Defect Recognition: Hybrids, Microcircuits and RF/MMIC Modules (3 DAYS) Course Description: Most companies struggle to introduce new lines and waste countless manhours and resources

More information

Quality does not know any compromise.

Quality does not know any compromise. Quality does not know any compromise. Sealing technology Sliding bearing technology Individual solutions www.ttv-gmbh.de ttv. BEST PARTNER. IT IS REALLY EXCITING IF A MEDIUM-SIZED COMPANY AS WE ARE IS

More information

Embraer: Brazil s pioneering aviation giant

Embraer: Brazil s pioneering aviation giant 14 December 2017 Embraer: Brazil s pioneering aviation giant By Catherine Jewell, Communications Division, WIPO Embraer is one of the world s leading manufacturers of commercial and executive jets, with

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

OPTICAL FILTERS. lasercomponents.com

OPTICAL FILTERS. lasercomponents.com OPTICAL FILTERS lasercomponents.com Optical Filters UV VIS NIR IR Since LASER COMPONENTS was first founded in 1982, optical filters have played an important part in LASER COMPONENTS' product range. The

More information

Lockheed Martin 2016 LOCKHEED MARTIN CORPORATION. ALL RIGHTS RESERVED

Lockheed Martin 2016 LOCKHEED MARTIN CORPORATION. ALL RIGHTS RESERVED Lockheed Martin 1 Helping the Future Arrive 2 Our People 115,000 Employees 60,000 Scientists & Engineers 500+ U.S. Facilities Operating in 70 Countries 3 Lockheed Martin 100+ Years of Accelerating Tomorrow

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

THE ARCOR WAY: A PASSION FOR PRECISION

THE ARCOR WAY: A PASSION FOR PRECISION THE ARCOR WAY: A PASSION FOR PRECISION 1 THE A-TEAM Our entire team is ready to take on your toughest challenges. When you work with our A-Team leaders, you can feel confident that From engineers to project

More information

the fine art of coating

the fine art of coating the fine art of coating INNOVATION AND CLEAR VALUES WHO WE ARE The Folex Group specialises in the finishing and coating of films and specialities and has production companies based in Switzerland (Schwyz)

More information

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik

RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik RealNano & ACINTECH Projektbeispiele für Nanotechnologie in der Mikroelektronik Reinhold Ebner, Anton Köck, Stefan Defregger Materials Center Leoben Forschung GmbH Roseggerstrasse 12 A-8700 Leoben www.mcl.at

More information

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE Tianniu Rick Chen, Ph.D. General Manager SP&C Business (Surface Preparation & Cleans) OUTLINE Market drivers and challenges

More information

Company Presentation. October 2017

Company Presentation. October 2017 Company Presentation October 2017 A global semiconductor leader 2016 revenues of $6.97B Listed: NYSE, Euronext Paris and Borsa Italiana, Milan Who We are 2 Research & Development Main Sales & Marketing

More information

sensors & systems Imagine future imaging... Leti, technology research institute Contact:

sensors & systems Imagine future imaging... Leti, technology research institute Contact: Imaging sensors & systems Imagine future imaging... Leti, technology research institute Contact: leti.contact@cea.fr From consumer markets to high-end applications smart home IR array for human activity

More information