Semiconductor Technology Academic Research Center copyright STARC,2004

Size: px
Start display at page:

Download "Semiconductor Technology Academic Research Center copyright STARC,2004"

Transcription

1 1 2

2 3 4

3 100 Pre-Competitive 10 1 SoC

4 7 A1-1LSI nm 65nm 45nm 32nm 3 GHz, 1000specint MHz, 1 GIPS, 100mW 8 GHz, 2000specint2000 1GHz, 2.0 GIPS, 100mW 15 GHz, 4000specint2000 2GHz, 4 GIPS, 50mW 19 GHz, 7000specint2000 4GHz, 10GIPS, 50mW A101 I 30 GOPS, 100mW 100 GOPS, 100mW 200 GOPS, 50mW A102 MPEG2 MP@ML Codec III MPEG2 MP@HL Codec MPEG4 Core-Pro 2Mbps, Codec 3-5GOPS 30mW MPEG2 MP@HL Non Real time Soft Codec or Parallel A103 III A104 III 30mW 10mW 5mW 150mW 30mW 15mW MPEG4 Main-Pro 4Mbps, Codec 15-20GOPS 20mW 400 Mpoly/s,200GFLOPS 800 Mpoly/s,1TFLOPS 3.2 Gpoly/s,4TFLOPS MPEG4 Main-Pro 8Mbps, Codec 30-40GOPS 10mW Real time (Polygon Graphics) A105 II A106 II DWDM( ) IP 20 M Gates 1Gbits 20 Mbps 50 M Gates 2GBits DRAMMEM(DRAM, FLASH, FRAM) 40Gbps Mbps 100M Gates 4GBits 80Gbps x Gbps 160 Gbps 320 Gbps DSP 400MHz(10mA 800MHz(10mA 1GHz(10mA / 100GOPS 40Gbps/0.5Gbps 500GOPS 100Gbps/1Gbps 8

5

6 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Transformation of Semiconductor R&D Dr. Katsuhiro Shimohigashi General Manager Semiconductor Technology Development Division Semiconductor & Integrated Circuits Hitachi,Ltd. 11 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) OUTLINE 1. Semiconductor IndustryHistory, Technology, and Market 2. Industry VicissitudeHistorical Perspective of Semiconductor Industry 3. Transformation of Semiconductor Industry 4. Transformation of Semiconductor R&D 5. A Measure of R&D Effectiveness 6. R&D History at Hitachi CRL 12

7 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Semiconductor Market Compared to Steel Production STEEL PRODUCTION (Mt/Year) SEMICONDUCTOR U.S. CHINA JAPAN KOREA YEAR FOR SEMICONDUCTOR 13 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Semiconductor Industry Trend B$ 40B$ 150B$ Market Develop Grow Mega Competition Oligopoly Infra-Structure (Equipment) Scarce Develop Grow Mega Competition Application ASP($) Mainframe PC Communication Digital Consumer Business Style IDM IDM IDM,Fabless,Foundry R&D CRL CRL Government-University-Industry Partnership To be discussed today! 14

8 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Market Characteristics Market Trend 1. Disappearance of Performance Oriented Segments (Mainframe Computer, ) Effects on R&D Density Price per Bit 2. Downsizing (PC,HPA, ) System-On-Chip Low Energy Architecture 3. Multimedia Processing (Image,Voice) CPU DSP High Bandwidth (SDRAM,R-DRAM) 15 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Business Characteristics 1. Huge Investment Business Trend Effects on R&D Manufacturing System becomes Focus of study 2. Decrease of ROI Alliance,Consortium 3. Silicon Cycle 4. New Market Entry by Specialization Product Portfolio Segment Specialization (System Solution) Synergistic Technology as a new leverage 16

9 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Technology-Process and Device Technology R&D Direction 1. Commoditization of Process Technology Precompetitive Domain Consortium Technology for Differenciation 2. Uncertainty of Post Optical Lithography New Materials Phase-Shift,OPC Semiconductor -Society-wide Cooperation for NGL 3. Low Cost Manufacturing Large Diameter Wafer Processing 17 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Technology-Continued 4. Technology Maturity Acceleration of Miniaturization Multi-Value Storage and Logic Module Level Integration Contributing factors for 4times DRAM density increase in the 80s. Miniaturization Memory Cell Improvement Circuit Improvement Chip Size Increase Nanometer Processing (Oxide Thickness3nm,Now!) 6. War with Invisible Defects 7. Wiring Material Limit (Cu) Atomic Layer Manipulation Selective Growth (Etching - free Process) Molecular / Atomic Level Physics and Analysis Optoelectronics 18

10 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Transformation of R&D, and Production Relationship Time to Product (Year) PAST R D P PRESENT D P R? Merging R: Research,D: Development,P: Production 19 USJAPAN Technology Management Seminar,Stanford University (Nov/ ) Diversification of R&D (Internal & External) External PreCompetitive Long Long Range Range Universities Government R&D Infrastructure Standardization SEMATECH/ SELETE JEDEC/Defacto Competitive Alliance Core Core Competence Joint Development Internal 20

11 21 The MARCO Focus Research Center Program UCB $10M MIT staff CMU Georgia Tech The MARCO Focus Research Center Program for Semiconductor Research, R. A. Rutebar, CMU 22

12 DAC MOSIS SRC SEMATECH VDEC STARC

13 : Semiconductor New Century Comittee SNCC 26

14 27 28

15 29 30

16

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Growing the Semiconductor Industry in New York: Challenges and Opportunities Accelerating the next technology revolution The SEMATECH New York Experience Growing the Semiconductor Industry in New York: Challenges and Opportunities Dan Armbrust President and CEO, SEMATECH April

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd.

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Fujitsu Laboratories R&D Strategy April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Our Global R&D Laboratory Model for the 21st Century Science Einstein... Atomic Energy, Moon Landing

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

W ith development risk fully borne by the equipment industry and a two-year delay in the main Page 1 of 5 Economic Challenges and Opportunities in the 300 mm Transition Iddo Hadar, Jaim Nulman, Kunio Achiwa, and Oded Turbahn, Applied Materials Inc. -- 10/1/1998 Semiconductor International W ith

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Core Business: Semiconductor-related Inspection Equipment

Core Business: Semiconductor-related Inspection Equipment Core Business: Semiconductor-related Inspection Equipment Lasertec manufactures unique inspection and measurement systems that incorporate the cutting-edge technologies of applied optics and offers them

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

Summary of Fujitsu SoC Technology and Related Business

Summary of Fujitsu SoC Technology and Related Business Summary of Fujitsu SoC Technology and Related Business V Joji Murakami (Manuscript received November 18, 2005) The system-on-a-chip (SoC) first appeared in the LSI market about 12 years ago. Since that

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Envisioning the Future of Optoelectronic Interconnects:

Envisioning the Future of Optoelectronic Interconnects: Envisioning the Future of Optoelectronic Interconnects: The Production Economics of InP and Si Platforms for 100G Ethernet LAN Transceivers Shan Liu Dr. Erica Fuchs Prof. Randolph Kirchain MIT Microphotonics

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Design in the Late-Silicon Age

Design in the Late-Silicon Age Design in the Late-Silicon Age Jan M. Rabaey University of California @ Berkeley Director MARCO Gigascale System Research Center DUSD(Labs) History Proceeds along Ages 280M 12M Permian Triasic Jurassic

More information

Fujitsu Semiconductor Europe Company Profile. Creating Right-Sized Solutions Together

Fujitsu Semiconductor Europe Company Profile. Creating Right-Sized Solutions Together Fujitsu Semiconductor Europe Company Profile Creating Right-Sized Solutions Together Fujitsu Semiconductor Europe s activities include semiconductor products, systems solutions, software and other support

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1 A Presentation to the National Academies July 29, 2009 Larry W. Sumney President/CEO Semiconductor Research Corporation1 What is SRC? World s leading consortium funding collaborative university research

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Power SOC Waiting for PSOC. Applications of PSIP/PSOC Products. David I Anderson

Power SOC Waiting for PSOC. Applications of PSIP/PSOC Products. David I Anderson Power SOC 2012 Waiting for PSOC. Applications of PSIP/PSOC Products David I Anderson 1 Overview 1. Introduction 2. Trends and Dislocations 3. Product Trend Setters 4. Inductor Integration Options 5. Why

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

Semiconductor Consortia in Japan: Experiences and Lessons. Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University

Semiconductor Consortia in Japan: Experiences and Lessons. Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University Semiconductor Consortia in Japan: Experiences and Lessons Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University Contents 1.Semiconductor Consortia in Japan 2.Technological

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS

A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS A START-UP S PROSPECTIVE TO TECHNOLOGY CHOICE AND IC DEVELOPMENT IN DEEP SUBMICRON CMOS JED Hurwitz CTO & VP Engineering, Gigle Semiconductor 14 May 2008 CONTENTS OF TALK Who am I Aspects of a successful

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

An Introduction to High-Frequency Circuits and Systems

An Introduction to High-Frequency Circuits and Systems An Introduction to High-Frequency Circuits and Systems 1 Outline The electromagnetic spectrum Review of market and technology trends Semiconductors industry Computers industry - signal integrity issues

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

The Center for Emerging and Innovative Sciences University of Rochester September 5, 2013

The Center for Emerging and Innovative Sciences University of Rochester September 5, 2013 Manufacturing Technology Roadmaps for Photonics A Proposal to the NIST Advanced Manufacturing Consortia Program (AMTech) In Support of the National Photonics Initiative The Center for Emerging and Innovative

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations CSE 560 Computer Systems Architecture Technology Survey: What is Moore s Law? What does Moore s Law state? A. The length of a transistor halves every 2 years. B. The number of transistors on a chip will

More information

Title: Expand with ROHM ROHM CO., LTD.

Title: Expand with ROHM ROHM CO., LTD. Title: Expand with ROHM ROHM CO., LTD. c 2009 ROHM Co., Ltd. All Rights Reserved Sales by Product Category (Consolidated) 500 (Billions of Yen) 400 300 Passive Components Displays 3,600 9% 10% 4,093 8%

More information

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Chief Architect at Samtec, Inc Outline Interconnect Solutions Mid-Board Optical Modules Silicon Photonics o Benefits o Challenges DragonFly

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2009 6.012 Microelectronic Devices and Circuits Charles G. Sodini Jing Kong Shaya Famini, Stephanie Hsu, Ming Tang Lecture 1 6.012 Overview Contents: Overview of 6.012 Reading Assignment: Howe

More information

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects

Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Integrated High Speed VCSELs for Bi-Directional Optical Interconnects Volodymyr Lysak, Ki Soo Chang, Y ong Tak Lee (GIST, 1, Oryong-dong, Buk-gu, Gwangju 500-712, Korea, T el: +82-62-970-3129, Fax: +82-62-970-3128,

More information

Tokyo Tech, Sony, JRC and KDDI Labs have jointly developed a 40 GHz and 60 GHz wave-based high-throughput wireless access network

Tokyo Tech, Sony, JRC and KDDI Labs have jointly developed a 40 GHz and 60 GHz wave-based high-throughput wireless access network March 1, 2016 News Release Tokyo Institute of Technology Sony Corporation Japan Radio Co. Ltd KDDI R&D Laboratories, Inc. Tokyo Tech, Sony, JRC and KDDI Labs have jointly developed a 40 GHz and 60 GHz

More information

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15 http://www.seas.upenn.edu/~ese570/ 1 TOPICS The Course Industry Trends Digital CMOS Basics Some VLSI Fundamentals Illustrative Design Example 2 1. Apply principles of hierarchical digital CMOS VLSI, from

More information

The Development of the Semiconductor CVD and ALD Requirement

The Development of the Semiconductor CVD and ALD Requirement The Development of the Semiconductor CVD and ALD Requirement 1 Linx Consulting 1. We create knowledge and develop unique insights at the intersection of electronic thin film processes and the chemicals

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY

BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY BUILDING A VISION FOR THE EUROPEAN SEMICONDUCTOR INDUSTRY A STRATEGIC PROGRAM TO PROTECT AND STRENGTHEN CORE BUSINESSES DECEMBER 2009 CONFIDENTIALITY Our clients industries are extremely competitive. The

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

2.5GBPS 850NM VCSEL LC TOSA PACKAGE

2.5GBPS 850NM VCSEL LC TOSA PACKAGE DATA SHEET LC TOSA PACKAGE FEATURES: 850nm multi-mode oxide isolated VCSEL Extended Temperature Range Operation ( 40 to +85 deg operating range) Capable of modulation operation from DC to 2.5Gbps TO-46

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

San Diego, CA, June 11 to 14, 2006

San Diego, CA, June 11 to 14, 2006 To Advance Wafer Test Technology To Serve and Inform the Wafer Test Professional To Boldly Go Where No Workshop Has Gone Before San Diego, CA, June 11 to 14, 2006 16th Annual SWTW Probe Year In Review

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

A Review of Low-Power and High-Density System LSI

A Review of Low-Power and High-Density System LSI MEMOIRS OF SHONAN INSTITUTE OF TECHNOLOGY Vol. 41, No. 1, 2007 LSI * A Review of Low-Power and High-Density System LSI Shigeyoshi WATANABE* Low-power design of system LSI in the presence of leakage current

More information

IBM Research - Zurich Research Laboratory

IBM Research - Zurich Research Laboratory October 28, 2010 IBM Research - Zurich Research Laboratory Walter Riess Science & Technology Department IBM Research - Zurich wri@zurich.ibm.com Outline IBM Research IBM Research Zurich Science & Technology

More information

DuPont Reflections on Photovoltaics

DuPont Reflections on Photovoltaics DuPont Reflections on Photovoltaics Steven C. Freilich Technology Director E. I. du Pont de Nemours and Co. CTO Electronics and Communication April 23, 2009 The Vision of DuPont 2 To be the world s most

More information

Manufacturing and Operational Excellence

Manufacturing and Operational Excellence Manufacturing and Operational Excellence Ajit Manocha General Manager Manufacturing & SCM September 15, 2005 Outline Operational excellence to improve competitiveness R&D Effectiveness Adoption of leading

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

Vietnam General Manager Intel Corporation

Vietnam General Manager Intel Corporation SHERRY BOGER Biography Ms. Sherry Boger is the General Manager of Intel Products Vietnam and is responsible for the site s ramp of Intel s state-of-the art assembly and test facility located in HCMC. The

More information

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report 2018-19 Photoresists & Ancillaries Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report Prepared by Ed Korczynski Reviewed and Edited by Lita Shon-Roy TECHCET CA LLC PO Box 3814

More information

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Mar-2017 Presentation outline Project key facts Motivation Project objectives Project

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information