Title: Expand with ROHM ROHM CO., LTD.

Size: px
Start display at page:

Download "Title: Expand with ROHM ROHM CO., LTD."

Transcription

1 Title: Expand with ROHM ROHM CO., LTD. c 2009 ROHM Co., Ltd. All Rights Reserved

2 Sales by Product Category (Consolidated) 500 (Billions of Yen) Passive Components Displays 3,600 9% 10% 4,093 8% 10% 3,212 8% 9% 3,502 7% 9% 3,556 7% 10% 3,690 6% 12% 3,877 6% 11% 3,950 6% 10% 3,734 6% 8% 200 Discrete Semiconductor 40% 39% 38% 39% 39% 39% 39% 40% 42% 100 Integrated Circuits 41% 43% 45% 45% 44% 43% 44% 44% 44% /3 2001/3 2002/3 2003/3 2004/3 2005/3 2006/3 2007/3 2008/3

3 R&D Headquarters IPM IPM Project Project SiC SiC Interigent Interigent Power Power Modules Modules LSI Operation HQs New New Material Material R&D R&D New New Functional Functional Composite Composite Device Device Development Development Team Team Multi-Functional Multi-Functional Interdisciplinary Interdisciplinary on on LSI LSI R&D R&D KTC LSI Dev. HQs Technology Technology Fusion Fusion Nano-Bionics Nano-Bionics R&D R&D Health Health Care Care Chips, Chips, Bio Bio Chips Chips ROHM R&D Headquarters Photonics Photonics R&D R&D Optical Optical Communication Communication,, Nano Nano Display Display R&D R&D Organic Organic ELD, ELD, Lighting Lighting FED FED Advanced Advanced Compound Compound Semiconductors Semiconductors R&D R&D New New Functional Functional Optical Optical / / Electronic Electronic

4 ROHM s s Development System c 2009 ROHM Co., Ltd. All Rights Reserved

5 Innovation produces profit! c 2009 ROHM Co., Ltd. All Rights Reserved

6 The strategic direction of semiconductor devices More Moore Next Generation Lithography Si-CMOS New Structure Tr High-k Gate Materials Low-k ILD More than Moore Differentiation Additional value Improvements by Higher-Integration ROHM R&D Headquarters Ultra Shallow Doping Strain Si Metal Gate Design for High-speed Design for Low-power Projects, such as ASKA and MIRAI, are advancing + α New function realized by applying new materials to LSIs New devices fabricated by combining different technology fields

7 The composite device by different field technical fusion Si tech. + New materials / different field tech. Composite! Electron/Photo/Force/Thermo/Bio/ Fusion Integration Display / Luminescence (LCD, EL, LD, LED) + LSI Photo acceptance unit (PD, CCD, CMOS I.C.) + LSI MEMS/Biochemical (Sensor, Actuator, ) + LSI Signal transfer media Electron Diversification Photon Vital reaction Optical integrated circuit Biochip

8 Example for TOP DOWN Type Collaboration RAMTRON Plasma System Tegal Tohoku Univ. Pat., Basic Tech. Basic Materials and Processes Osaka Univ. Materials Production Chubu Univ. Tanaka Kikinzoku Racom Kanto Chemical RAMTRON Mitsubishi Material Research Network for Ferroeletric Memory tech. ULVAC Equipments Hyogo Prefecture Univ. Kyoto Univ. INTAG Chip area: 70% reduction, Power consumption: 50% reduction Features of FeRAM Production level Non-volatile Limited read cycle (actually unlimited) High speed (<50ns) Ultra low power The first in the world mass production success BL2 (y2) BL1 (y1) FeRAM Circuit merged logic and storage functions Ferroelectric-based functional pass-gate CLK Cs CLK S Cp V S RS ML(z)

9 Changes of R&D Organization From the beginning of R&D process Technology Technology Technology Marketing Marketing Business Ivory-tower research Research which cooperated with the manufacturing division Research taken into the business models

10 Academia-Industry Partnership Ritsumeikan University ROHM Plaza (from April 2000) Doshisha University ROHM Plaza (from September 2003) Kyoto University ROHM Plaza (from May 2005) (Biwako Kusatsu campus, Shiga) Next generation VLSI development (Kyotanabe campus, Kyoto) Raising future leaders of multimedia software (Katsura campus, Kyoto) Comprehensive industry- university alliance Exhibitions catering to students Events and exhibitions are held introducing ROHM technology and manufacturing via panels and demos.

11 TSINGHUA-ROHM ELECTRONIC ENGINEERING HALL TSINGHUA-ROHM ELECTRONIC ENGINEERING HALL Plans call for TSINGHUA-ROHM ELECTRONIC ENGINEERING HALL to be constructed on Tsinghua University s campus, to which the well-equipped research and development facility will bring such features as an international exchange center dedicated to promoting international collaboration between industry and academia, clean room space for the development of semiconductor devices, space for advanced LSI study and research, space for joint research by Tsinghua University and ROHM, and a 300-seat hall for academic presentations.

12 R&D inclusive agreement between ROHM and Kyoto Univ. R&D theme R&D of advanced technology for devices with new function and high performance - R&D of wide gap semiconductor: SiC - Research of post MOSFET function memory New generation technology development for future optical devices - Development of optical device using photonic crystal - R&D of ZnO system compound semiconductor - R&D of GaN system compound semiconductor - Development of electrode material for compound semiconductor device

13 The style of new strategic industry-university university cooperation (Cross-industrial association inclusive industry-university university fusion alliance) Technology ROHM HITACHI IPs are co-owned. owned. Prof. Other Univ. /Research org. Technology Marketing NTT Research field (assigned by key-word) Prof. Technology Marketing Business MITSUBISHI Chemical Pioneer Theme will be Born by the merge of different abilities!! Ass. Prof. Researcher Other Univ. /Research org. Social science and human sciences are also necessary. Team formed by different field industries Kyoto Univ.

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd.

Fujitsu Laboratories R&D Strategy. April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Fujitsu Laboratories R&D Strategy April 4, 2008 Kazuo Murano, Ph.D. President Fujitsu Laboratories Ltd. Our Global R&D Laboratory Model for the 21st Century Science Einstein... Atomic Energy, Moon Landing

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Industry Academia Government alliance

Industry Academia Government alliance Industry Academia Government alliance A base for the generation of new industries INDUSTRY-ACADEMIA-GOVERNMENT ALLIANCE Our Mission & Support Services OUR MISSION We Strive To Meet The Challenge For A

More information

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics February 10, 2011 Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics Presentation overview -General overview -Research focus < 4 Holst Centre: a solid partner in research Independent,

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS WHO ARE WE? XTPL S.A. is a company operating in the nanotechnology segment. The interdisciplinary team of XTPL develops on a global scale

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

[Overview of the Consolidated Financial Results]

[Overview of the Consolidated Financial Results] 0 1 [Overview of the Consolidated Financial Results] 1. Consolidated revenue totaled 5,108.3 billion yen, increased by 581.1 billion yen (+12.8%) from the previous year. 2. Consolidated operating profit

More information

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools Gareth Bignell, FE Equipment Procurement Director SEMICON Europa 2012 Presentation Summary 2 An introduction to STMicroelectronics The

More information

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013 Specialization in Microelectronics Wang Qijie Nanyang Assistant Professor in EEE qjwang@ntu.edu.sg March 8, 2013 Electronic Engineering Option Microelectronics What is it about? Study of semiconductor

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Outline of AIST --Integration for innovation--

Outline of AIST --Integration for innovation-- Outline of AIST --Integration for innovation-- Dr. Satoshi Hamakawa Director, Planning Division, Research & Innovation Promotion Headquarters, AIST Brief history of AIST Geological Survey of Japan 1882

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016 A*STAR S IME KICKS OFF CONSORTIA TO DEVELOP ADVANCED PACKAGING SOLUTIONS FOR NEXT-GENERATION INTERNET OF THINGS APPLICATIONS AND HIGH-PERFORMANCE WIRELESS

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

SUNY Poly in a New Era

SUNY Poly in a New Era SUNY Poly in a New Era Bahgat Sammakia Interim President, SUNY Polytechnic Institute SUNY Poly in a New Era Overview SUNY Poly is recognized as a global leader in advanced electronics Research and Development,

More information

Specified Nonprofit Organization (NPO) High-Frequency/Analog Semiconductor Business Research (HAB)Association

Specified Nonprofit Organization (NPO) High-Frequency/Analog Semiconductor Business Research (HAB)Association Specified Nonprofit Organization (NPO) High-Frequency/Analog Semiconductor Business Research (HAB)Association -Promote new business development and open innovation in analog technology field- Mission Activities

More information

Developing Smart Miniaturized Solutions for Industry

Developing Smart Miniaturized Solutions for Industry Developing Smart Miniaturized Solutions for Industry Micro nanotechnologies & Embedded Software Competitive Cluster - Grenoble / France www.minalogic.com Born in Grenoble 1 st french scanner (1972) Airbag

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Research and Development at Fujitsu Laboratories

Research and Development at Fujitsu Laboratories Research and Development at Fujitsu Laboratories V Fumitaka Abe V Masao Kondo (Manuscript received May 25, 2007) Fujitsu Laboratories continues to advance R&D in meeting the challenges of a new era in

More information

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Seongsoo Lee Takayasu Sakurai Center for Collaborative Research and Institute of Industrial Science, University

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Simultaneous achievement of high performance and high reliability in a 38/77 GHz InGaAs/AlGaAs PHEMT MMIC

Simultaneous achievement of high performance and high reliability in a 38/77 GHz InGaAs/AlGaAs PHEMT MMIC Simultaneous achievement of high performance and high reliability in a 38/77 GHz InGaAs/AlGaAs PHEMT MMIC Takayuki Hisaka 1a), Hajime Sasaki 1, Takayuki Katoh 1, Ko Kanaya 1, Naohito Yoshida 1, Anita A.

More information

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors

EE105 Fall 2015 Microelectronic Devices and Circuits. Invention of Transistors EE105 Fall 2015 Microelectronic Devices and Circuits Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Invention of Transistors - 1947 Bardeen, Shockley, and Brattain at Bell Labs Invented

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

One-Stop-Shop for. Research Fab Microelectronics Germany

One-Stop-Shop for. Research Fab Microelectronics Germany Fraunhofer Group for Microelectronics One-Stop-Shop for Technologies and Systems Research Fab Microelectronics Germany The entire added-value chain for microelectronics and nanoelectronics from a single

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Recent Trends in Semiconductor IC Device Manufacturing

Recent Trends in Semiconductor IC Device Manufacturing Recent Trends in Semiconductor IC Device Manufacturing August 2007 Dr. Stephen Daniels Executive Director National Centre for Plasma Moore s Law Moore s First Law Chip Density will double ever 18months.

More information

COCA-COLA WEST AND COCA-COLA EAST JAPAN ANNOUNCE PROPOSED INTEGRATION COCA-COLA BOTTLERS JAPAN INC. EXPECTED TO BE ESTABLISHED ON APRIL 1, 2017

COCA-COLA WEST AND COCA-COLA EAST JAPAN ANNOUNCE PROPOSED INTEGRATION COCA-COLA BOTTLERS JAPAN INC. EXPECTED TO BE ESTABLISHED ON APRIL 1, 2017 COCA-COLA WEST AND COCA-COLA EAST JAPAN ANNOUNCE PROPOSED INTEGRATION COCA-COLA BOTTLERS JAPAN INC. EXPECTED TO BE ESTABLISHED ON APRIL 1, 2017 Coca-Cola Bottlers Japan Inc. (CCBJI) will operate in Tokyo

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007 The FP7 ICT Theme Components & Systems Dr Erastos Filos European Commission Information Society and Media Directorate-General EF_Comp+Syst_FP7ICT_25Sep07-1

More information

ITRS Update (and the European situation) Mart Graef Delft University of Technology

ITRS Update (and the European situation) Mart Graef Delft University of Technology ITRS Update (and the European situation) Mart Graef Delft University of Technology Overview Roadmapping: Moore s Law & More than Moore Europe and the Roadmap Beyond CMOS: Nano-Tec Infrastructures: ENI2

More information

Department of Electrical & Computer Engineering. Five-Year Strategic Plan: Improving Lives. Transforming Louisiana. Changing the World.

Department of Electrical & Computer Engineering. Five-Year Strategic Plan: Improving Lives. Transforming Louisiana. Changing the World. Department of Electrical & Computer Engineering Five-Year Strategic Plan: 2010-2015 Improving Lives. Transforming Louisiana. Changing the World. Engineering is intertwined with our very own way of life.

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

March National Institutes for Quantum and Radiological Science and Technology

March National Institutes for Quantum and Radiological Science and Technology 資料 1-3-1 Points to be Considered and Concrete Actions for the Next Medium to Long-term Plan (draft) of National Institutes for Quantum and Radiological Science and Technology March 2016 National Institutes

More information

Semiconductor Consortia in Japan: Experiences and Lessons. Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University

Semiconductor Consortia in Japan: Experiences and Lessons. Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University Semiconductor Consortia in Japan: Experiences and Lessons Shuzo FUJIMURA Tokyo Institute of Technology Hiroyuki CHUMA Hitotsubashi University Contents 1.Semiconductor Consortia in Japan 2.Technological

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Intellectual Property Strategy in Japan

Intellectual Property Strategy in Japan Asian Science and Technology Seminar in Bangkok, 2006 Intellectual Property Strategy in Japan March 19, 2006 Hisamitsu Arai Secretary-General Intellectual Property Strategy Headquarters Cabinet Secretariat,

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Semiconductor Technology Academic Research Center copyright STARC,2004

Semiconductor Technology Academic Research Center copyright STARC,2004 1 2 3 4 100 Pre-Competitive 10 1 SoC 5 10 5 6 7 A1-1LSI 2004 05 06 07 08 09 10 11 12 13 14 90nm 65nm 45nm 32nm 3 GHz, 1000specint2000 500 MHz, 1 GIPS, 100mW 8 GHz, 2000specint2000 1GHz, 2.0 GIPS, 100mW

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

JOINT NEWS RELEASE. Partnership with Fujikura in photonic crystal CDC device

JOINT NEWS RELEASE. Partnership with Fujikura in photonic crystal CDC device JOINT NEWS RELEASE Japanese multinational companies extend presence in Singapore through research collaborations with IME IME scores a hat-trick with Fujikura, Mitsui and Seiko in photonics, MEMs and IC

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Semiconductor Process Diagnosis and Prognosis for DSfM

Semiconductor Process Diagnosis and Prognosis for DSfM Semiconductor Process Diagnosis and Prognosis for DSfM Department of Electronic Engineering Prof. Sang Jeen Hong Nov. 19, 2014 1/2 Agenda 1. Semiconductor Manufacturing Industry 2. Roles of Semiconductor

More information

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS

FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS FRAUNHOFER GROUP FOR MICROELECTRONICS ONE-STOP-SHOP FOR TECHNOLOGIES AND SYSTEMS The entire added-value chain for microelectronics and nanoelectronics from a single provider The Research Fab Microelectronics

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

TAMA - An Initiative toward An Innovative Cluster in Japan - December 17, 2003 At the 6th Regional Cluster Seminar Toshihiro Kodama RIETI

TAMA - An Initiative toward An Innovative Cluster in Japan - December 17, 2003 At the 6th Regional Cluster Seminar Toshihiro Kodama RIETI TAMA - An Initiative toward An Innovative Cluster in Japan - December 17, 2003 At the 6th Regional Cluster Seminar Toshihiro Kodama RIETI 1 Contents of Presentation 1. Kodama (1) Background (2) Results

More information

Industry University Collaboration based upon Hitachi Cambridge Laboratory. Dr. Yutaka Kuwahara President & CEO, GVIN Ltd

Industry University Collaboration based upon Hitachi Cambridge Laboratory. Dr. Yutaka Kuwahara President & CEO, GVIN Ltd Industry University Collaboration based upon Hitachi Cambridge Laboratory Dr. Yutaka Kuwahara President & CEO, GVIN Ltd Concept Globalization Industry must collaborate with world best knowledge for global

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development

RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development RESEARCH FAB MICROELECTRONICS GERMANY (FMD) The Virtual Institute for Combined Microelectronic Research and Development Mircoelectronic development trends Micro- and Nanoelectronics are key enabling technologies

More information

Engineering Insights MC Research & Innovation Center T. Cais Feb.29, 2008

Engineering Insights MC Research & Innovation Center T. Cais Feb.29, 2008 Mitsubishi Chemical UCSB Alliance Engineering Insights 2008 MC Research & Innovation Center T. Cais Feb.29, 2008 Outline Mitsubishi Chemical The UCSB Alliance Operations MC Research & Innovation Center

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B. Silicon VLSI Technology Fundamentals, ractice, and Modeling Class otes For Instructors J. D. lummer, M. D. Deal and. B. Griffin These notes are intended to be used for lectures based on the above text.

More information

Beyond Moore the challenge for Europe

Beyond Moore the challenge for Europe Beyond Moore the challenge for Europe Dr. Alfred J. van Roosmalen Vice-President Business Development, NXP Semiconductors Company member of MEDEA+/CATRENE/AENEAS/Point-One FIT-IT 08 Spring Research Wien,

More information

Nanophotonics for low latency optical integrated circuits

Nanophotonics for low latency optical integrated circuits Nanophotonics for low latency optical integrated circuits Akihiko Shinya NTT Basic Research Labs., Nanophotonics Center, NTT Corporation MPSoC 17, Annecy, France Outline Low latency optical circuit BDD

More information

Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI. Shuji Tanaka Tohoku University, Sendai, Japan

Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI. Shuji Tanaka Tohoku University, Sendai, Japan Process Technology to Fabricate High Performance MEMS on Top of Advanced LSI Shuji Tanaka Tohoku University, Sendai, Japan 1 JSAP Integrated MEMS Technology Roadmap More than Moore: Diversification More

More information

Basic Characteristics of Digital ICs

Basic Characteristics of Digital ICs ECEN202 Section 2 Characteristics of Digital IC s Part 1: Specification of characteristics An introductory look at digital IC s: Logic families Basic construction and operation Operating characteristics

More information

Lecture Wrap up. December 13, 2005

Lecture Wrap up. December 13, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 26 1 Lecture 26 6.012 Wrap up December 13, 2005 Contents: 1. 6.012 wrap up Announcements: Final exam TA review session: December 16, 7:30 9:30

More information

Opportunities and Challenges for Open Innovation

Opportunities and Challenges for Open Innovation WIPO REGIONAL SEMINAR ON TECHNOLOGY TRANSFER BY UNIVERSITY AND PUBLIC RESEARCH INSTITUTIONS THOROUGH THE STRATEGIC USE OF THE PATENT SYSTEM December 9-11, 29 Opportunities and Challenges for Open Innovation

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Analysis and Processing of Power Output Signal of 200V Power Devices

Analysis and Processing of Power Output Signal of 200V Power Devices doi: 10.14355/ie.2015.03.005 Analysis and Processing of Power Output Signal of 200V Power Devices Cheng-Yen Wu 1, Hsin-Chiang You* 2, Chen-Chung Liu 3, Wen-Luh Yang 4 1 Ph.D. Program of Electrical and

More information

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s. UNIT-I FIELD EFFECT TRANSISTOR 1. Explain about the Field Effect Transistor and also mention types of FET s. The Field Effect Transistor, or simply FET however, uses the voltage that is applied to their

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Knowledge Map in Japan

Knowledge Map in Japan Knowledge Map in Japan Yusuke Naito Artificial Life Laboratory, Inc. 7/19/2013 Yusuke Naito 1 Visualize Current Knowledge Source Sommelier Tools for Science Technology Innovation Policy Japanized Science

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Wide Band-Gap (SiC and GaN) Devices Characteristics and Applications. Richard McMahon University of Cambridge

Wide Band-Gap (SiC and GaN) Devices Characteristics and Applications. Richard McMahon University of Cambridge Wide Band-Gap (SiC and GaN) Devices Characteristics and Applications Richard McMahon University of Cambridge Wide band-gap power devices SiC : MOSFET JFET Schottky Diodes Unipolar BJT? Bipolar GaN : FET

More information

R&D of SiC semiconductor power devices and strategy towards their practical utilization

R&D of SiC semiconductor power devices and strategy towards their practical utilization Research paper R&D of SiC semiconductor power devices and strategy towards their practical utilization - The role of AIST in developing new semiconductor devices- Kazuo Arai [Translation from Synthesiology,

More information

Semiconductor Industry Perspective

Semiconductor Industry Perspective Semiconductor Industry Perspective National Academy of Engineering Workshop on the Offshoring of Engineering Washington, D.C. October 25, 2006 Dr. Robert Doering Texas Instruments, Inc. A Few Introductory

More information

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications

Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications Quantum-effect Resonant Tunneling Device Technology for Practical Ultra Low-power High-speed Applications SEMATECH Symposium October 23 rd, 2012 Prof. Kyounghoon Yang High Speed Nanoelectronics Laboratory

More information

Summary of Fujitsu SoC Technology and Related Business

Summary of Fujitsu SoC Technology and Related Business Summary of Fujitsu SoC Technology and Related Business V Joji Murakami (Manuscript received November 18, 2005) The system-on-a-chip (SoC) first appeared in the LSI market about 12 years ago. Since that

More information

Lessons learned from the 2011 Great East Japan Earthquake

Lessons learned from the 2011 Great East Japan Earthquake 2013 The ECCE Conference Changes in Civil Engineering Lessons learned from the 2011 Great East Japan Earthquake Takehiko Ono 2013.5.31 1 Good afternoon, ladies and gentleman of European Council of Civil

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2003 6.012 Microelectronic Devices and Circuits Jesús del Alamo Dimitri Antoniadis, Judy Hoyt, Charles Sodini Pablo Acosta, Susan Luschas, Jorg Scholvin, Niamh Waldron Lecture 1 6.012 overview

More information

Context Development Details Anticipated Effects

Context Development Details Anticipated Effects Dec 27, 2017 Tanaka Precious Metals/Tanaka Holdings Co., Ltd Japan Science and Technology Agency (JST). A Bendable Touch Panel Achieved with Silver Nano Ink Printing Technology (A Result of NexTEP: Joint

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Vision Long-term Business Plan FY FY2025. April 20, May 2016 revision 1: P.2 FY2015 financial values changed to actual

Vision Long-term Business Plan FY FY2025. April 20, May 2016 revision 1: P.2 FY2015 financial values changed to actual Long-term Business Plan FY2016 - FY2025 Vision 2025 April 20, 2015 20 May 2016 revision 1: P.2 FY2015 financial values changed to actual 2016 YASKAWA Electric Corporation Our Vision for 2025 Offer a new

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Monolithic integration of GaN power transistors integrated with gate drivers

Monolithic integration of GaN power transistors integrated with gate drivers October 3-5, 2016 International Workshop on Power Supply On Chip (PwrSoC 2016) Monolithic integration of GaN power transistors integrated with gate drivers October 4, 2016 Tatsuo Morita Automotive & Industrial

More information

457 APR The Fourth Medium to Long-term Plan has started. No.

457 APR The Fourth Medium to Long-term Plan has started. No. 457 APR 2016 No. The Fourth Medium to Long-term Plan has started We are sorry to inform you that this April 2016 issue will be the final one to be distributed in printed materials. It would be appreciated

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor Article DOI: 10.21307/ijssis-2018-013 Issue 0 Vol. 0 Implementation of 144 64 Pixel Array Bezel-Less Cmos Fingerprint Sensor Seungmin Jung School of Information and Technology, Hanshin University, 137

More information

TC74VHC08F, TC74VHC08FT, TC74VHC08FK

TC74VHC08F, TC74VHC08FT, TC74VHC08FK TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74VHC08F/FT/FK TC74VHC08F, TC74VHC08FT, TC74VHC08FK Quad 2-Input AND Gate The TC74VHC08 is an advanced high speed CMOS 2-INPUT AND GATE fabricated

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Electric Circuits

Introduction to Digital Logic Missouri S&T University CPE 2210 Electric Circuits Introduction to Digital Logic Missouri S&T University CPE 2210 Electric Circuits Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

Lecture - 01 Introduction to Integrated Circuits (IC) Technology

Lecture - 01 Introduction to Integrated Circuits (IC) Technology Integrated Circuits, MOSFETs, OP-Amps and their Applications Prof. Hardik J Pandya Department of Electronic Systems Engineering Indian Institute of Science, Bangalore Lecture - 01 Introduction to Integrated

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

A fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing

A fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing A 64 64 1200fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing Xiwei Huang, Fei Wang, Jing Guo, Mei Yan, Hao Yu*, and Kiat Seng Yeo School of Electrical

More information

Introduction to CCD camera

Introduction to CCD camera Observational Astronomy 2011/2012 Introduction to CCD camera Charge Coupled Device (CCD) photo sensor coupled to shift register Jörg R. Hörandel Radboud University Nijmegen http://particle.astro.ru.nl/goto.html?astropract1-1112

More information

The Asia S&T Strategic Cooperation Promotion Program

The Asia S&T Strategic Cooperation Promotion Program Asia Disaster Reduction Science and Technology Forum The Asia S&T Strategic Cooperation Promotion Program Jakarta, December 4th, 2006 Akira YOSHIKAWA Deputy Director General Science & Technology Policy

More information

Some Key Researches on SiC Device Technologies and their Predicted Advantages

Some Key Researches on SiC Device Technologies and their Predicted Advantages 18 POWER SEMICONDUCTORS www.mitsubishichips.com Some Key Researches on SiC Device Technologies and their Predicted Advantages SiC has proven to be a good candidate as a material for next generation power

More information

Gallium Nitride & Related Wide Bandgap Materials and Devices

Gallium Nitride & Related Wide Bandgap Materials and Devices Gallium Nitride & Related Wide Bandgap Materials and Devices Dr. Edgar J. Martinez Program Manager DARPATech 2000 GaAs IC Markets 1999 Market $11 Billion 2005 Market $20 Billion Consumers 2% Computers

More information

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4,

More information