GST CMP BLANKET and TEST PATTERNED WAFERS

Size: px
Start display at page:

Download "GST CMP BLANKET and TEST PATTERNED WAFERS"

Transcription

1 C M P C h a r a c t e r I z a t I o n S o l u t I o n s GST CMP BLANKET and TEST PATTERNED WAFERS MARCH 20, 2009 PREPARED BY SOOKAP HAHN PRESIDENT SKW ASSOCIATES, INC SCOTT BOULEVARD SANTA CLARA, CA TEL: CELL: SKW Associates, Inc.

2 C M P C h a r a c t e r I z a t I o n S o l u t I o n s I. BLANKET FILM WAFER Both 200 & 300mm Wafers Are Available Method of GST Film Deposition: PVD (Magnetron Sputtering) Typical Film Stack: Si/1kA SiO2/ Å TiN/2.5-3kA GST CVD Deposition Method is Available Upon Request Deposited Surface Roughness - ATM measurement after GST 2500Å Sputtering - Scan Area: 500 µm x 500 µm - RMS 9.54Å - Ra 7.37Å SKW Associates, Inc.

3 CHANGE IN MASK LAYOUT FROM SKW3 (REMOVAL OF SEM STRUCTURES) SKW3 MASK FLOOR PLAN PATTERN SIZE (LINE) a/b= 50/50 μm No Patter n 10/90 80/20 70/30 50/50 No 20/80 patter 90/10 30/70 n 50/50 40/60 30/70 60/40 50/50 0.5/ / /10 50/50 250/ / 1.0 5/5 25/25 100/ / 500 SEM STRUCTURES REMOVED! a b a = 10 um TEOS 2,000 A b = 90 um Si WAFER SiN 1,000 A SiO 10,000 A 2 CROSS SECTIONAL VIEW MONITORING PATTERN

4 SKW Associates, Inc, 2920 Scott Blvd. Santa Clara, CA Phone (408) Fax (408) http// SKW 8O-GST (200mm) Wafer Specifications DATE: December 17, mm 4mm 10% 80% 70% 100% 20% 0% 90% 30% GST 3000Å TiN 200Å-300Å 1 µm 2 µm 40% 30% 5 µm 20 µm SEM struct. 10 µm 50 µm 60% 100 µm 200 µm 500 µm 1000 µm 20mm Si WAFER TEOS 2000Å SiN 1000Å SiO2 10,000Å Cross Sectional View 20mm SKW 8-GST Mask Floor Plan logo/ pattern rec. mark

5 Specification for Patterning Center Die X Location Center Die Y Location Die Size: X Die Size: Y Die Spacing X/Y Wafers must be patterned all the way to the edges of the wafer, i.e. no area anywhere on wafer unpatterned. (Under certain stepper operating conditions, 2mm edge exclusion is allowed.) mm mm 20 mm 20 mm 120 / 120 µm +/- 100 µm +/- 100 µm Line Width Variation (measured on 10 µm, 90 µm structures) 10 µm, 90 µm Pad Oxide Film Thickness 10,000 Å SiN Film Thickness 1000 Å

6 PETEOS Oxide Film Thickness 2000 Å PVD TiN Film Thickness 200 Å GST Film Thickness 3000 A

7 SKW Associates, Inc, 2920 Scott Blvd. Santa Clara, CA Phone (408) Fax (408) http// SKW 8N-GST (200mm) Wafer Specifications DATE: December 17, mm 4mm 10% 80% 70% 100% 20% 0% 90% 30% GST 3000Å 1 µm 2 µm 40% 30% 5 µm 20 µm SEM struct. 10 µm 50 µm 60% 100 µm 200 µm 500 µm 1000 µm 20mm TiN 200Å-300Å SiN 1650Å SiO2 200Å Si WAFER Cross Sectional View 20mm SKW 8-GST Mask Floor Plan logo/ pattern rec. mark

8 Specification for Patterning Center Die X Location Center Die Y Location Die Size: X Die Size: Y Die Spacing X/Y Wafers must be patterned all the way to the edges of the wafer, i.e. no area anywhere on wafer unpatterned. (Under certain stepper operating conditions, 2mm edge exclusion is allowed.) mm mm 20 mm 20 mm 120 / 120 µm +/- 100 µm +/- 100 µm Line Width Variation (measured on 10 µm, 90 µm structures) 10 µm, 90 µm Pad Oxide Film Thickness 200 Å SiN Film Thickness 1650 Å

9 PVD TiN Film Thickness 200 Å GST Film Thickness 3000 A

10 SKW8-GST Dishing Characterization Location Maps 50/50 10/90 80/20 70/30 50/50 No Patter n 20/80 No patter n 90/10 30/70 50/50 40/60 30/70 60/40 50/50 0.5/ 2.5/ 10/10 50/ (1) (4) (5) 1.0/ 100/ 5/5 25/ (2) (3) (6) 250/ / 500 SITE LOCATIONS

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

Color Chart for Thermally Grown SiO 2

Color Chart for Thermally Grown SiO 2 Color Chart for Thermally Grown SiO 2 Color Chart Table for thermally grown silicon dioxide films observed perpendicularly under daylight fluorescent lighting. Copyright 1964 by International Business

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

D. Impedance probe fabrication and characterization

D. Impedance probe fabrication and characterization D. Impedance probe fabrication and characterization This section summarizes the fabrication process of the MicroCard bioimpedance probes. The characterization process is also described and the main electrical

More information

REVISION #25, 12/12/2012

REVISION #25, 12/12/2012 HYPRES NIOBIUM INTEGRATED CIRCUIT FABRICATION PROCESS #03-10-45 DESIGN RULES REVISION #25, 12/12/2012 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process Micron MT66R7072A10AB5ZZW 45 nm BiCMOS PCM Process Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers

Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers Advanced ACTPol Multichroic Horn-Coupled Polarimeter Array Fabrication on 150 mm Wafers Shannon M. Duff NIST for the Advanced ACTPol Collaboration LTD16 22 July 2015 Grenoble, France Why Long-λ Detectors

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects Hsiao-Wen Zan and Chun-Yen Chang Institute of Electronics, National Chiao Tung University, TAIWAN 1

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors Veerendra Dhyani 1, and Samaresh Das 1* 1 Centre for Applied Research in Electronics, Indian Institute of Technology Delhi, New Delhi-110016,

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Through Silicon Via Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Imager Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414

More information

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera Imager Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Imager

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

Zeta-300 3D OPTICAL PROFILER

Zeta-300 3D OPTICAL PROFILER Zeta-300 3D OPTICAL PROFILER Technology Toolkit Developed in 2007, the revolutionary Confocal Grid Structured Illumination (CGSI) is the powerful technology in all Zeta Optical Profilers but in a Zeta,

More information

Zpulser LLC. Industry Proven HIPIMS/HPPMS Plasma Generators Based on MPP Technology.

Zpulser LLC. Industry Proven HIPIMS/HPPMS Plasma Generators Based on MPP Technology. Zpulser LLC Industry Proven HIPIMS/HPPMS Plasma Generators Based on MPP Technology. Zond/ Zpulser Zpulser is the sales/manufacturing division of Zond Inc. We manufacture unique pulsed dc generators for

More information

Deliverable 3.1 Passive Components Fabrication

Deliverable 3.1 Passive Components Fabrication PowerSWIPE (Project no. 318529) POWER SoC With Integrated PassivEs Deliverable 3.1 Passive Components Fabrication Dissemination level: PU Responsible Beneficiary Tyndall National Institute, University

More information

Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for. Lithium-ion Batteries

Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for. Lithium-ion Batteries Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for Lithium-ion Batteries Alireza Kohandehghan a,b, Peter Kalisvaart a,b,*, Martin Kupsta b, Beniamin Zahiri a,b, Babak Shalchi

More information

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process Texas Instruments Sitara XAM3715CBC Application Processor Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis February 7, 2006 Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia

SiPM development within the FBK/INFN collaboration. G. Ambrosi INFN Perugia SiPM development within the FBK/INFN collaboration G. Ambrosi INFN Perugia 2 FBK Trento (IT) Clean room «Detectors»: - 500m2-6 wafers - Equipped with: ion implanter 8 furnaces wet etching dry etching lithography

More information

Deliverable D5.2 DEMO chip processing option 3

Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing Option 3 Date: 22-03-2017 PiezoMAT 2017-03-22_Delivrable_D5.2 Author(s): E.Saoutieff; M.Allain (CEA) Participant(s):

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis May 23, 2006 Maxim MAX3940E Electro-Absorption Modulator Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki

Inkjet Filling of TSVs with Silver Nanoparticle Ink. Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Inkjet Filling of TSVs with Silver Nanoparticle Ink Behnam Khorramdel, Matti Mäntysalo Tampere University of Technology ESTC 2014 Finland, Helsinki Outline Motivation for this study Inkjet in MEMS fabrication

More information

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE This note is a brief description of the effects of bonding pieces to a carrier wafer during the etch process on the STS ICP-RIE.

More information

Excimer laser projector for microelectronics applications

Excimer laser projector for microelectronics applications Excimer laser projector for microelectronics applications P T Rumsby and M C Gower Exitech Ltd Hanborough Park, Long Hanborough, Oxford OX8 8LH, England ABSTRACT Fully integrated excimer laser mask macro

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Status of ITC-irst activities in RD50

Status of ITC-irst activities in RD50 Status of ITC-irst activities in RD50 M. Boscardin ITC-irst, Microsystem Division Trento, Italy Outline Materials/Pad Detctors Pre-irradiated silicon INFN Padova and Institute for Nuclear Research of NASU,

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization UVISEL Spectroscopic Phase Modulated Ellipsometer The Ideal Tool for Thin Film and Material Characterization High Precision Research Spectroscopic Ellipsometer The UVISEL ellipsometer offers the best combination

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

A9211B ISO/IEC 14443A RFID TAG IC

A9211B ISO/IEC 14443A RFID TAG IC FEATURE Compatible with ISO/IEC 14443A Standard No external power supply required 13.56MHz operating frequency Total embedded 2048 bit OTP memory 100% ASK demodulator Cascaded two level 7 byte serial number

More information

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Marcello Graziosi, ESR 3 within PICQUE (Marie Curie ITN project) and PhD student marcello.graziosi@ifn.cnr.it Istituto

More information

PRELIMINARY DATASHEET

PRELIMINARY DATASHEET PRELIMINARY DATASHEET 24-34 GHz Ka-band Low Noise Amplifier DESCRIPTION The is a high performance Ka band Low Noise Amplifier. This device is a key component for high frequencies (25-31 GHz) systems. The

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Optical Filters for Space Instrumentation Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Trieste, 18 February 2015 Optical Filters Optical Filters are commonly used in Space instruments

More information

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated

Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated Lasers Defect Correction in DRAM's Problem: very hard to make memory chips with no defects Memory chips have maximum density of devices Repeated structures all substitutable Create spare rows and columns

More information

New Color Alignment for CMOS Image Sensor

New Color Alignment for CMOS Image Sensor New Color Alignment for CMOS Image Sensor TOWER: Miri Kish Dagan, Hadas Rechtman, Oshri Moshe ASML: Remi Edart, Yehuda Kanfi, Patrick Warnaar, Richard van Haren Content Introduction Requirement Technical

More information

3D Si Interposer Design and Electrical Performance Study

3D Si Interposer Design and Electrical Performance Study DesignCon 2013 3D Si Interposer Design and Electrical Performance Study Mandy (Ying) Ji, Rambus Inc. Ming Li, Rambus Inc. Julia Cline, Rambus Inc. Dave Secker, Rambus Inc. Kevin Cai, Rambus Inc. John Lau,

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

STMicroelectronics LSM303DLH 3-Axis Accelerometer and 3-Axis Honeywell Magnetometer Sensor

STMicroelectronics LSM303DLH 3-Axis Accelerometer and 3-Axis Honeywell Magnetometer Sensor STMicroelectronics LSM303DLH 3-Axis Accelerometer and 3-Axis Honeywell Magnetometer Sensor MEMS Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Motivation Different Strategies for Induction integration. Package-Integrated VR with Intel Core 2 Duo Processor. ! Thru-Silicon-Vias (TSV) in future

Motivation Different Strategies for Induction integration. Package-Integrated VR with Intel Core 2 Duo Processor. ! Thru-Silicon-Vias (TSV) in future Optimization of soft magnetic thin films structures in on-chip inductors for Hao Wu, Donald S. Gardner, and Hongbin Yu Ira A. Fulton Schools of Engineering, Arizona State University, Tempe, AZ8587, United

More information

High Voltage and MEMS Process Integration

High Voltage and MEMS Process Integration ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING High Voltage and MEMS Process Integration Dr. Lynn Fuller and Dr. Ivan Puchades webpage: http://people.rit.edu/lffeee Electrical and Microelectronic

More information

Sony IMX018 CMOS Image Sensor Imager Process Review

Sony IMX018 CMOS Image Sensor Imager Process Review September 6, 2006 Sony IMX018 CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER

Microsystem Technology for Eddy Current Testing Johannes PAUL, Roland HOLZFÖRSTER 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic More Info at Open Access Database www.ndt.net/?id=16638 Microsystem Technology for Eddy Current

More information

CMOS as a Research Platform Progress Report -June 2001 to August 2002-

CMOS as a Research Platform Progress Report -June 2001 to August 2002- CMOS as a Research Platform Progress Report -June 2001 to August 2002- Zhiping (James) Zhou Microelectronics Research Center Georgia Institute of Technology http://cmos.mirc.gatech.edu September 5, 2002

More information

Digital Integrated Circuit Design I ECE 425/525 Chapter 3

Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Digital Integrated Circuit Design I ECE 425/525 Chapter 3 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Standard Operating Procedure of Atomic Force Microscope (Anasys afm+)

Standard Operating Procedure of Atomic Force Microscope (Anasys afm+) Standard Operating Procedure of Atomic Force Microscope (Anasys afm+) The Anasys Instruments afm+ system incorporates an Atomic Force Microscope which can scan the sample in the contact mode and generate

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers

Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Performance of a-si:h Photodiode Technology-Based Advanced CMOS Active Pixel Sensor Imagers Jeremy A. Theil *, Homayoon Haddad, Rick Snyder, Mike Zelman, David Hula, and Kirk Lindahl Imaging Electronics

More information

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection Correlation of Wafer Defects to Photolithography Hot Spots Using Advanced Macro Inspection Alan Carlson* a, Tuan Le* a a Rudolph Technologies, 4900 West 78th Street, Bloomington, MN, USA 55435; Presented

More information

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200 Module 5: Substrate Dopant Analysis Nikon NC81369R CMOS Image Sensor from the Nikon D3200 2 Some of the information

More information

OVERLAY PERFORMANCE IN ADVANCED PROCESSES

OVERLAY PERFORMANCE IN ADVANCED PROCESSES OVERLA PERFORMANCE IN ADVANCED PROCESSES F. Bornebroek, J. Burghoorn, J.S. Greeneich, H.J. Mergens, D. Satriasaputra, G. Simons, S. Stalnaker, B. Koek ASML, De Run 111, 553 LA Veldhoven, The Netherlands

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA Expert VPD-ICPMS Fully Automated Auto Scanning System Elemental Scientific ICP ICPMS AA Expert Features An indispensable tool for the determination of metal impurities in Si wafer Expert automates routine

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical.

Chapter 3. Digital Integrated Circuit Design I. ECE 425/525 Chapter 3. Substrates in MOS doped n or p type Silicon (Chemical. Digital Integrated Circuit Design I ECE 425/525 Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu) http://ece.pdx.edu/~ecex25

More information

Okamoto Machine Tool Works, LTD. June 22, th SEMATECH Symposium Japan 1

Okamoto Machine Tool Works, LTD. June 22, th SEMATECH Symposium Japan 1 Okamoto Machine Tool Works, LTD 1 Contents Solutions for TSV Wafer Thinning Process (Front Side Via) TSV Wafer Thinning Challenges Process Improvement (4-years Development) TSV Wafer Thinning Tool (TSV300)

More information

LITE /LAB /SCAN /INLINE:

LITE /LAB /SCAN /INLINE: Metis Metis LITE /LAB /SCAN/ INLINE Metis LITE /LAB /SCAN /INLINE: Spectral Offline and Inline Measuring System, using Integrating Sphere, for coatings on foils/web and on large size glasses To ensure

More information

Design & Fabrication of FBAR Device and RF. Inductor Based on Bragg Reflector for RFIC

Design & Fabrication of FBAR Device and RF. Inductor Based on Bragg Reflector for RFIC M.S. 20062095 Jae-young Lee Design & Fabrication of FBAR Device and RF Inductor Based on Bragg Reflector for RFIC Applications School of Engineering. 2008 p. 60 Major Advisor : Prof. Giwan Yoon Text in

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES Fig. 5: Scanning Electron Microscopy images (TOP view, 3D view, Zoome including all metal levels of the BSI imager structure. (dashed line shows bonding IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

More information

STMicroelectronics VL53L0B ToF Proximity Sensor

STMicroelectronics VL53L0B ToF Proximity Sensor STMicroelectronics VL53L0B Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Basic Functional Analysis 2 Some of the information in this

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

Low-loss singlemode PECVD silicon nitride photonic wire waveguides for nm wavelength window fabricated within a CMOS pilot line

Low-loss singlemode PECVD silicon nitride photonic wire waveguides for nm wavelength window fabricated within a CMOS pilot line Low-loss singlemode PECVD silicon nitride photonic wire waveguides for 532-900 nm wavelength window fabricated within a CMOS pilot line A.Z. Subramanian, A. Dhakal, F. Peyskens, S. Selvaraja *,Member,

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

SQUID Test Structures Presented by Makoto Ishikawa

SQUID Test Structures Presented by Makoto Ishikawa SQUID Test Structures Presented by Makoto Ishikawa We need to optimize the microfabrication process for making an SIS tunnel junction because it is such an important structure in a SQUID. Figure 1 is a

More information

Texas Instruments M Digital Micromirror Device (DMD)

Texas Instruments M Digital Micromirror Device (DMD) Texas Instruments 1910-612M Digital Micromirror Device (DMD) MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report October 13, 2006 Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report (with Optional TEM Analysis) For comments, questions, or more information about this report,

More information

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis

Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM. DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM DRAM Process Report with Custom BEOL and Dopant Analysis Samsung K3PE7E700B-XXC1 3x nm 4 Gbit Mobile DRAM 2 Some of the information in this report may be

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information