Marcel Jacomet. Electrical Engineering Department, Circuit Design Laboratory Swiss Federal Institute of Technology (ETH) CH-8092 Zurich, Switzerland

Size: px
Start display at page:

Download "Marcel Jacomet. Electrical Engineering Department, Circuit Design Laboratory Swiss Federal Institute of Technology (ETH) CH-8092 Zurich, Switzerland"

Transcription

1 FANTESTIC: Towards a Powerful Fault Analysis and Pattern Generator for Integrated Circuits Marcel Jacomet Electrical Engineering Department, Circuit Design Laboratory Swiss Federal Institute of Technology (ETH) CH-8092 Zurich, Switzerland Test Abstract According to statistical models characterizing the likelihood of physical defects inherent to the fabrication process, an algebraic approach to extract the possible defects from the specific circuit layout is proposed. Depending on their effects to the electric properties of the circuit, the physical defects are transformed into electrical faults and ranked according to their likelihood of occurrence. The analysis of some sample CMOS circuits illustrate the effect of different physical defects to circuit level faults. Based on these realistic faults, effective test patterns can be generated. 1 Introduction The progressing miniaturization capability leads to the possibility to integrate more and more complex systems on one chip. Nowadays, such very large scale integrated (VLSI) circuits consist of to 1 million transistors in one single chip. Due to such high integration densities not only the circuits functionality, but also the difficulty to test the circuit itself are augmented. Both test generation and test evaluation have become very complex, time consuming and therefore very costly. firthermore, the applicability of the stuck-at fault models has been highly disputed, and thus the effectiveness of the test pattern generation methods based on them is unclear. Most of todays existing test tools have originally been developed for TTL chips or printed circuit boards containing TTL logic. Physical or chemical mechanisms which cause faults on printed circuit boards or on TTL chips can be quite different to the ones of MOS or CMOS circuits. It is evident that an effective test synthesis for VLSI circuits has to take into account process technology dependent defect mechanisms. Consequently, fault models must be based on physical defects caused by process instabilities, contamination, and lithography imperfections occurring during the chip fabrication process. Classical approaches to test pattern generation for logical faults have assumed that physical defects can be modeled as lines in the gate level representation stuck at a specific logic value. More refined fault models like the switch level model 111 were introduced to improve the accuracy of the translation of physical defects into electrical faults. Both of these approaches are based on a gate level or a transistor level circuit description to generate a fault list. They have no relation to the geometric circuit layout which strongly influences yield and the generation of electrical faults. 2 The FANTESTIC Concept The modern trend is to design large systems and to implement them as VLSI circuits. For the successful design, integration and test of such highly complex systems, structured design and test methods have to be applied. A widely popular design concept is illustrated in Fig. l which is supported by appropriate CAD tools. The numerous design environments do not differ in their method but in the abstraction level of the CAD tools themselves. They consist of four phases, starting from the system development which is followed by the logic design. In the third phase the test pattern generation is performed, which relies on the logic circuit and which is independent of the layout. The layout design and verification is usually accomplished simultaneously to the test synthesis sometimes even by different design engineers. The described conventional design and test method CH2742-5/0000/0633$01.OO IEEE 1989 International Test Conference 633

2 specification logic implementation floor-planing Q System Design G Logic Design specification system design system simulation logic design logic simulation testability analysis floor-planing layout generation layout verification Figure 1: Conventional design and test method for VLSI circuits. Layout design and test pattern generation are usually developed in parallel. for integrated digital circuits prevents from the development of a powerful test synthesis with a high fault coverage. The main reason can be found in the absence of an informative fault analysis. By a basic change of the conventional design and test method and an expansion of the test phase itself, the preconditions for a more effective fabrication test synthesis can be reached. In contrast to conventional design and test methods, a strict hierarchical course of the different design phases has to be followed. Figure 2 illustrates the embedding of a Fault ANalysis and TEST pattern generation of Integrated Circuits concept (FANTESTIC concept) into the design and test cycle of VLSI circuits. Shown in Fig. 2, the test synthesis phase in particular does not run any more in parallel with the layout generation but proceeds sequentially and relies on a newly inserted fault analysis phase which is based on the layout. Thus the circuit layout does not only contain the structure of geometrical patterns for the chip fabrication, but also furnishes the basic information for the fault analysis phase which strongly influences test pattern generation. In the FANTESTIC concept, the fault analysis phase is based on the circuits layout, on physical defect models, and on technology specific parameters. Four main steps can be distinguished at the fault analysis phase: 0 Extraction of possible physical defects based on the circuit layout (technology dependent). 0 Physical defects to electrical fault transformation (technology dependent). defect extraction fault analysis fault classification test pattern generation fault simulation Figure 2: The impact of the FANTESTIC test concept on the VLSI chip design procedure. Algebraic approach to calculate the likelihood of the occurrence of each fault (technology independent). Supply of statistical data on the impact of different mask dependent spot defects and pinholes on faults. The fault analysis step furnishes a list of faults extracted from the layout and ranked according to their likelihood of occurrence which fulfills the requirements of a test pattern generator to produce a powerful test set. 2.1 Physical Defects in CMOS Fabrication Processes The amount of knowledge of physical, optical, or chemical mechanisms which reflect in deviations of the expected properties of the electrical behavior of the integrated circuit is responsible for the success to reveal the defects. Relying on this knowledge, it is possible to predict yield and testability, as well as to develop 634

3 effective test generation procedures. During the complex process steps for the fabrication of integrated circuits, there exist numerous factors causing physical defects leading to faulty circuits. How these weaknesses and lack of perfection arise and how they influence the circuit behavior mainly depends on the applied fabrication process and the circuits layout topology. For a few fabrication processes the literature describes causes of defects during different process steps. These defects can be classified into two groups: 0 electrical parameter shifts 0 topology deformations Defects causing electrical parameter shifts (like variations of threshold voltages etc.) usually affect larger chip areas. They can be detected by the measurement of parameters of special test circuits in between the chips on the wafer. The second group causing topological layer deformations can be divided into defects affecting large areas (global) and pinhole, point, or spot defects which have local effects. Global topology deformations, such as scratches, photolithography misalignments, line registration errors or other serious fabrication process control errors cause simultaneous numerous faults which are usually easy to detect. The most difficult defects to detect are the local topology deformations, because they are randomly distributed over the hole chip area and because their effect on the circuits behavior is usually limited to a local failure source. This paper will focus on these local topology deformations, which are mainly responsible for the high test costs due to their local and random character. The source of these local topology deformations are manifold and partially can be classified into the following categories: local surface contamination: it can lead to corrosion and parameter shifts with time dependent mechanisms. substrate inhomogeneities: caused by impurities or local inhomogeneities in the silicon substrate crystal lattice behaves like recombination or generation centers for electrons or holes. photolithography defects: dirt and dust particles can cause opaque or transparent spots on the lithography mask, which lead to additional or missing layers on the wafer. oxide defects: contamination in the field or gate oxide can cause pinholes in the isolation layers. metallization problems: electron dislocation or electro-chemical corrosion can cause defects in the metallization layer. A lot of other defects can be observed, like parameter shifts due to hot electrons, problems due to alpha particles of the package etc. The origin of defects from each of these categories involves complicated processes which sometimes are unknown. Despite the lack of basic physical or chemical knowledge which lead to all of these defects, some experimental results are available and used in this paper. 2.2 Statistical Modeling of Physical Defects In this paper) the statistical modeling of the likelihood of occurrence of physical defects will be restricted to the local topology category. The applied models have been proposed by Stapper [2,3] and are successfully used and further developed by various researchers [4,5,6]. Two basic models are distincted. The first one describes the probability of oxide defects in transistor channels and insulation layers. The second model depicts the calculation about the likelihood of photolit hography defects Modeling of Oxide Defects Defects which occur in dielectric insulation materials between different conducting layers possess very small dimensions (pinholes) compared to the geometrical layout structures. Pinholes only can induce electrical shorts where conductors of different layers cross each other or in the thin oxide of transistor channels. Thus the average number of faults X is sensitive to the overlapping area of the two involved conductors. For this reason Stapper postulated the average number of faults to be: Xozzde = A ' D oride (1) where A represents the overlapping or defect-sensitive area, and D the average defect density Modeling of Photolithography Related Defects Geometrical patterns on the substrate surface consisting of metal, poly-silicon, dielectric, and diffusion, are used for the creation of transistors, interconnections, capacitances etc. Nowadays the minimal dimensions of such patterns are only a few microns down to one micron or even less. Dirt and dust particles of similar 635

4 f I-- f Is I., Figure 3: Long conductors running in parallel are interrupted by spot defects. Spot defects causing multiple conductor interruptions are divided into multiple interruptions of single conductors. dimensions entail horizontal defects during the photolithography pattern projections to the wafer. The particles location on the masks or the wafer as well as the particles size determine the effects on the electrical behavior of the integrated circuit. The physical effects of photolithography defects can be divided into two groups: they can lead to missing layer material or can produce undesirable additional material on the chip. These photolithography related defects, which belong to the category of local topology deformations, are often called spot or point defects. They can be modeled as circles with a variable diameter 5. The defect size distribution function of spot defects used in this paper was earlier developed by Stapper [2,3] by analyzing a number of experiments: The average number of faults (produced at a layer creation process defined by mask m) can easily be calculated by the integral of the defect density function multiplied by the sensitive area. Figure 3 illustrates the calculation of the defect sensitive area marked by two dotted lines of one interrupted interconnection layer with width w. An integrated circuit layout does not only consist of one single interconnection layer but of numerous interconnections. There will be spot defects causing multiple open interconnections. Assume that many long interconnection layers ly in parallel consisting all of the same widths w and having the same space s between each other (see Fig. 3), the average number of broken interconnections can be calculated. The contribution of multiple m open faults are separated into m parts and added to the probabilities of the concerned single open interconnection faults. As it will be shown later, this simplification is justified. Thus the total average number of opens for 71 interconnection layers is n times the average nuniber for an interruption of one single interconnection Xant. Xint - = D,lz;, s[a+l]+w[2+2] +J sl+w[a+ 11 (s[i U[, + 2) - s) In the above equation there are the two constants om, and so and the three variables length I and width w of the interconnection layer as well as the space s between them. These three variables can easily be extracted from the circuit layout. As it is shown for the interruption of layers (interruption in conductors or transistor channels), similar formulas can he developed for critical horizontal cover in one layer (shorts between equal conductors), for critical vertical cover of two different layers (shorts between different conductors), or critical overlapping of an underlying layer (interruption of contacts or transistor channels). The spot defects are called critical when they induce an electrical fault Single Faults Versus Multiple Faults Fixing the miniiiial width w for conductors, the previously introduced variable U can be interpreted as 636

5 a measure of the layout density. In the investigated CMOS process, all minimal dimensions are 3pm, whereby v = 1 represents the highest possible layout density. The ratio of single faults (SF) to the sum of single and multiple faults (MF) for interruptions can be deduced from equation 2: >&, TechdqY Description electrical components - / defect models circuit extractor I Pr {SF I SF U M F} = 2. ant With v 2 1 it follows that the percentage of single faults will always be between 80% and 100%. The same result can also be obtained by considering all defect types together. Consequently defects causing multiple faults will always remain a small fractional part of the total number of faults. 3 The FANTESTIC Implementation The algebraic fault analysis therefore bases on the extraction of individual physical layout structures of integrated digital circuits. The applied analysis is a commonly usable procedure for many different fabrication processes. Changing to comparable technologies, the characterization of the physical defects to the new technology have to be adjusted. The extraction of physical defects, its transformation into electrical faults, and the algebraic calculation of their probabilities will be performed fully automaticly by a software module of the FANTESTIC tool package. Figure 4 illustrates the implementation of the FAN- TESTIC concept, which consists of the algebraic fault analysis module and the test synthesis module which is currently under development. As it can be seen in Fig. 4, the input data for the algebraic fault analysis phase is furnished by a conventional circuit extractor*, which can easily be customized for the extraction of local topology defects for any fabrication process. The circuit extractor concurrently extracts information both for local topology defect modeling and the circuit netlist on transistor level, which can also be used for design validation. These two data files are the basis for the algebraic fault analysis module. In a parsing step, local topology defects are extracted out of the furnished information from the circuit extractor. These defects subsequently are mapped into primary electrical faults, like bridges between connectors etc. The following transformation step of primary faults 'The IC design group of our laboratory uses the design software which was developed by Philips. Thus the applied circuit extractor is LOCAL [7) from this IC design package. (transistor netlist) (primary data) i Algebraic Fault Analysis. parser primary-fault extractor transformation to circuit faults - statistical fault analysis Circuit Structure -transistor netlist - ranked list - defect sources Generation - logic faults Figure 4: The relationship between the data and the two software modules, algebraic fault analysis and test pattern generation of the FANTESTIC concept. into circuit faults, like stuck-at or bridging faults is a very tedious task. Using the earlier described physical defect models, a statistical analysis of the origin of the faults, their likelihood of occurrence, as well as their probability distribution can now be performed. The resulting output of the algebraic fault analysis phase are: e e The development of a logic level circuit description starting from the transistor level netlist. A list of circuit faults ranked according to their probabilities. The faults are classified according to their electrical effects. A statistical analysis of failure sources, a fault distribution and the electrical fault manifestations, illustrated graphically. 637

6 This realistic faults, extracted from the individual circuit layout, will then be used as a basis to generate an effective set of test patterns. 3.1 Extraction of Local Topology Defects A self-aligned single metal layer p-well CMOS fabrication technology** has been chosen as an example to obtain initial results with the FANTESTIC concept. The design rules of this CMOS process lead to 36 mask combinations which can be grouped into 21 different electrical function classes (e.g. nmos transistor, metal layer, n-diffusion contact, p-well contact etc.) needed to built digital logic. A physical defect will cause an electrical fault if it manifests itself as a shift from one electrical function class into another. A careful analysis of all possible shifts leads to a technology-dependent defect-to-fault transformation function. As an example the missing interconnection (for instance a poly-silicon layer) due to a spot defect illustrated in Fig. 3 represents a shift from the electrical function class of poly-conductor t to the electrical no-function tt class. This interruption defect has now to be mapped into an electrical primary fault. 3.2 Mapping of Local Topology Defects into Primary Faults After the extraction of all potential local topology defects from the circuit layout, they are mapped into electrical primary-faults. These primary faults are a set of all basic electrical faults, with which all failures of digital circuits can be described on a transistor level. The set of these primary faults only consists of four elements: the bridge between two conductors (zeroresistance) the resistive bridge between two conductors the interruption of a conductor, transistor terminal, or channel the creation of a new transistor device For the definition of these primary faults some simplifications have to be made. For instance, bridging "3pm SACMOS process with 8 masks described in [E]. t The mask combination m.ps.m represents the electrical function class of poly-conductors. ttthe electrical no-function class is defined as the mask cornbination of =. (OD + SP. SN + -. m). faults caused by physical defects may have different conductivities. In the present SACMOS process technology [8], the resistance for metal conductors is typically 25mR/O. For poly-silicon these value is 30R/o and for n and p diffusions the conductivity is 55R/o and 200R/O, respectively. In digital applications transistors normally operate as switches. Thus in the case of a bridging fault the short resistance can be compared to a transistors on-resistance, which is one or two decades higher. In the 3pm SACMOS technology a 6pm wide Ti-channel and a 9pm p-channel transistor possess an on-resistance of 3.7KR/O and 5.9KR/o respectively. Thus, the resistance of a bridging fault between two conductors can be neglected compared with the on-resistance of a transistor. Therefore it is quite realistic to distinguish between two types of bridging faults, the zero-resistance and the resistive bridging fault. 3.3 Transformation of Primary Faults into Circuit Faults The layout-dependent primary faults have to be transformed into circuit faults. Depending on their site in the circuit, primary faults of the same type may induce an equal or a different faulty behavior of the digital circuit. Basically, the implemented primary to circuit fault transformation procedure attempts to get faults on the logic level, or at least, easy to handle faults at the transistor level. Principally, electrical effects of faults in digital circuits can be classified in three categories. 0 logic manifestation static current increase delay time increase, and 0 discharge of dynamic nodes Numerous faults show a faulty circuit behavior, which may manifest itself in more than one of the above categories. In the current FANTESTIC implementation, all primary faults with an observable logic malfunction will be transformed into a logic fault. Bridging faults, which definitely result into an undefined logic output value, will be described by a static current increase fault. Pure delay time increase and discharge faults are not considered up to know in our fault transformation procedure. Depending on its geometrical and electrical location the transformation of an interruption defect into a circuit fault can either be a conventional stuck-at fault or 638

7 2.2% defect layers standard cell design opens shorts r-shorts device total pinhole (field) 1.0% 1.O% pinhole (gate) 11.1% 11.1% missing IN missing CO niissing CE missing PS iiiissing OD missing SP missing SN 25.2% 1.0% 21.9% 1.4% 0.3% 1.8% 25.2% 1.O% 23.7% 1.4% 0.3% extra IN extra CO extra PS 0.9% 13.1% 2.5% 15.2% 13.1% 2.5% 16.0% extra OD 0.5% 1.0% 1.5% extra SP extra SN 0.3% 0.3% extra DP 2.2% ~ total I 51.6% 32.3% 15.1% 1.0% I 10 gate array design opens shorts r-shorts device 0.9% 8.5% 44.0% 0.4% 21.7% 1.4% 0.1% 1 2.4% 0.4% 7.8% 0.4% 0.1% 1.7% 66.9% 21.5% 11.6% total 0.9% 8.5% 44.0% 0.4% 23.1% 0.1% 1 2.4% 8.2% 0.4% 0.1% 1.7% 10 Table 1: The contribution of pinholes and layer defects to the weighted primary electrical faults of opens, shorts, resistive short and extru device faults measured with a standard cell and gate array design implementation are illustrated, assuming to be equal for each defect type. The abbreviations for masks in this table are as follows: metal interconnection (IN), contact oxide window (CO), contact extended to poly-silicon (CE), poly-silicon (PS), open for diffusion (OD), shallow n-type or p-type diffusion (SN,SP), deep p-type diffusion (DP). a fault turning a combinatorial circuit into a sequential behavior mode. If an open fault does not interrupt the logic output from the supply lead (open opl in Fig. 6) then a combinatorial circuit will be turned into a sequential one, otherwise the open can be modeled as a stuck-at fault (open opz in Fig. 6). The probabilities of all physical defects causing the same electrical fault will be accumulated and assigned to the appropriate fault which is then called weighted fault. 4 Experimental Results Preliminary experimental results obtained with a small digital interface circuit (900 transistors) implemented in both standard cell design and gate array technology with the same design rules (3pm) are illustrated in Table 1. The table shows the contribution of pinholes and spot defects to different electrical fault classes. Measuring the time used to extract defects, to convert them into faults and to rank them according to their probabilities in a list, it can be seen that the proposed FANTESTIC concept is quite fast (43 seconds on a VAX 8600 computer after having extracted the circuit layout for the mentioned standard cell interface circuit example of 900 transistors). It is interesting to see that the ratio of open to short faults varies in the two different implementations of the circuit. From Table 1, it can be observed that in the gate array implementation the ratio of open to short faults is as high as 2.0 to 1 whereas for the standard cell (sc) implementation this ratio is only 0.9 to 1. This observation can be explained by the lower layout densities of gate array (ga) implementations (uga < use) due to many unused vias and due to the fixed channel widths, which can never be used optimally in contrast to the variable channel widths in standard cell designs. The analysis in Fig. 5 represents the weighted faults as a function of the number of faults in a ranked fault list starting with the most likely faults. The gate array implementation needs four times as much silicon area as its corresponding standard cell design and the probability of causing a fault is 2.5 times higher. Table 2 shows the percentage of primary faults which can be mapped into the classical stuck-at fault model. The table illustrates results obtained by the two interface circuits (900 transistors) mentioned above and a programmable test controller circuit (8400 transistors) implemented in gate array technology [9]. For the respective primary to circuit fault transformation, it is assumed that an open of a transistor gate terminal can be modeled as a stuck-at-0 as well as a stuck-at-1 fault, due to the relatively large hold time of the gate capacitances. Even with this simplifica- 639

8 primary faults standard cell gate array (900 FET s) circuit faults opens shorts r-shorts total opens shorts r-shorts total stuck-at 42.3% 4.8% 47.1% 42.8% 3.0% 45.8% sequential 9.3% 9.3% 24.1% 24.1% LLSS & CLSS 22.5% 15.1% 32.2% 18.5% 11.6% 30.1% total 51.5% 32.3% 15.1% 99.0% 66.9% 21.5% 11.6% 10 gate array (8400 FET s) opens shorts r-shorts total 39.5% 3.9% 43.4% % 11.1% 36.6% 59.5% 29.4% 11.1% 10 Table 2: By the transformation of primary faults to circuit faults the contribution of each fault class to the total number of weighted faults can be shown. The fault contribution of conventional stuck-at fa,ults and logic faults requiring an initialization previous to the applying of the test patterns (combinational circuit turns to a sequential one at the presence of a fault) as well as the new large-scope short faults (LLSS and CLSS) are illustrated for a 900 transistor standard cell and gate array design example of the interface circuit as well as for a 8400 transistor gate array implementation of a programmable test controller. density distribution - 100% open faults tuning the circuit into a sequential mode is twice as high as in the two gate array implenientations than in the standard cell design. - 80% - 60% 5 Towards Test Pattern Generation relative number of faults - 40% Figure 5: Density and distribution of weighted faults as a function of the number of faults ranked in a decreasing order of their likelihood. In order to get 90% of the weighted faults only 54% of the extracted faults have to be considered for test pattern generation. tion, the classical stuck-at fault models cover less than 50% of the weighted faults. Some open faults turn a combinatorial circuit into a sequential one (see section 3.3). A small portion of the bridging faults can also be mapped into stuck-at faults, if a logic output node is shorted to a supply line. The remaining bridging faults can be mapped in the new large-scope short fault model introduced later in section 5.1. The analysis of the three circuits shows another interesting tendency. Looking at Table 2, it is evident that the percentage of faults which can be represented by the classic stuck-at fault model remains almost constant for all circuits. In contrast, the percentage of The last step in order to get a powerful test pattern set consists of the extraction of a compressed set of the most likely faults. As it can be seen from the experimental results in Fig. 5, not every extracted fault has to be processed further. In both interface circuits only approximately 69% of all faults have to be considered in order to achieve a fault coverage of approximately 95% of all weighted faults. There are even only 54% of faults to be further processed by test pattern generation tools in order to get a 90% fault coverage. 5.1 A New Large-Scope Short Fault Model The experimental results in Table 2 and previous research projects reported in the literature provide examples which demonstrate the inadequacy of classical stuck-at models and the technology dependency of fault models. In the literature [10,11,12,13], several models have been proposed to describe the unintentional connection between two nodes. This interest in bridging fault models stems from the frequent occurrences of interconnection shorts and its difficulty to model them. The bridging fault may be considered as a logical fault within the circuit which may change the logic value on a node between two discrete steps ( U and l ), or as an electrical fault which changes voltage (between OV and 5V) or current in a given interval. Due to the FANTESTIC concept, more information 640

9 a3 = 0 a2 = 0 a1 4 = 0 IKss = 2.8v 3 faulty output do = 0 Figure 6: By an example circuit, the transformation of a bridging fault to a logic large-scope short is illustrated. The faulty logic value 0 can be observed at the output of circuit D. Circuit C has an undefined logic output value, due to a to small large-scope short voltage difference AVc.lss = 0.3V 5 A&,,,. can be extracted from the circuit layout than existing bridging fault models are based on. The difference between existing bridging fault models in the literature steam from their different scope. The scope of a fault model defines both the expansion of a fault within the circuit and the amount of circuit and layout information which is used to determine the faulty behavior. For instance, no circuit information is necessary to determine that a stuck-at fault fixes a node to a particular value. By nature, bridging faults must have a greater scope than one node. The wiredlogic niodels for bridging faults determine the logic value on both nodes depending on the logic output values of the individual elements driving the bridging faults. The scope of these models is the two outputs driving the short circuit node. The new bridging fault model has a much larger scope, so it will be called large-scope short or LSS fault. Depending on differences in driving capabilities of the involved transistors and individual input voltage threshold levels of the succeeding gates, it will be determined whether a bridging fault can be modeled as a logical (LLSS) or current increase (CLSS) large-scope short. The following steps describe the derivation of a large-scope short out of a bridging fault, with an example circuit illustrated in Fig. 6: 1. A set of input vectors for the first logic stage (Block A and B) have to be selected in order to get inverse logic values at the outputs given a fault free circuit. 2. With the derived input vectors, the minimal and maximal short-circuit output voltages have to be calculated or simulated using about two or three iteration steps to get an accurate voltage value. These two values are called minimal and maximal large-scope short voltage levels (Kss). 3. The minimal and maximal logic input threshold voltages of the succeeding second stage circuits (circuit C and D) have to be derived (&h,~ and vi h, D ) * 4. One of these short-circuit voltages (xss) and one of the logic input threshold voltages from circuit C and D have to be selected, such that the voltage differences A&,, = IQ, - Vthl can be maximized. This voltage difference is defined as the large-scope short voltage difference. 5. If the large-scope short voltage difference AVi,, exceeds a minimal value AKlss (for example 0.75V), then there is a logic large-scope short (LLSS) with a valid logic value, else the voltage takes an unknown intermediate logic value and ihe fault is called a current large-scope short (CLSS). 6. If only a current large-scope short can be obtained, then the input values of the two shorted logic blocks have to be selected, such that the short-circuit path between Vdd and v,, shows a maximal fault current. A similar approach has to be performed for bridging faults within a logic circuit block. Logic lkqe-scope shorts can be detected at the logic level by applying appropriate logic values at the inputs of the shorted and the succeeding logic blocks to get the rnmimal large-scope short voltage difference Ax,,. The det ection of current large-scope shorts can be achieved by observing the increased current consumption, while the logic block input values are chosen to achieve a maximal fault current. As an example the bridging fault in circuit Fig. 6 can be transformed into a logic large-scope short 1 value for circuit D ( AVc,lss = 1.1V) with a faulty logic value 1 with the input vectors va = (O,O,o), Vb = (1) and 641

10 Vd = (Kss,O). Because AVD,~~~ = 0.3V is smaller than the LLSS voltage difference SKlss = 0.75V the bridging fault cannot be transformed into a logic largescope fault for circuit C. Due to a normally increased delay time for bridging faults, before applying the test vector the shorted node must first be initialized to the LLSS fault value. 5.2 Fault Classification The test synthesis module of the FANTESTIC concept will be based on the following three fault models: 0 conventional stuck-at fault model 0 extended stuck-at faults, requiring initialization (sequential) 0 new logic and current large-scope short fault model Faults causing an extra device are very rare (see Table l) and thus will not be considered for the test pattern generation procedure. According to these classifications, suitable algorithmic approaches are going to be implemented in a next step using some basic theorems for CMOS testing formulated in [14]. 6 Conclusions A methodology relating physical defects to the circuit level faulty behavior caused by these defects and a fast algebraic implementation to provide a realistic fault list is proposed. In conjunction with the obtained statistical data on the likelihood of each fault and the knowledge of its best observable electrical manifestation, a solid basis for an effective and powerful test pattern generation is provided. To achieve an accurate modeling of bridging faults, a new fault model, the large-scope short is developed and implemented. In contrast to other fault analysis procedures which use time consuming simulation methods to generate or induce physical defects [4,15], the proposed FANTES- TIC methodology is very fast in extracting defects and converting them to a ranked fault list. Using the implemented fault analysis further investigations concerning the design robustness in respect to fabrication defects may furnish interesting results about the layout design quality. This results could be used to change the layout implementation rules (e.g. modifying the ratio of conductor width to the space between conductors) in such a way as to raise the yield. References (11 John P. Hayes. An introduction to switch-level modeling. IEEE Design & Test, 18-24, August [2] C. H. Stapper. Modeling of integrated circuit defect sensitivities. IBM Journal of Research and Development, Vol. 27: , November [3] C. H. Stapper. Modeling of defects in integrated circuit photolithographic patterns. IBM Journal of Research and Development, Vol. 28: , July [4] Hank Walker and Stephen W. Director. VLASIC: a catastrophic fault yield simulator for integrated circuits. IEEE Transactions on Computer- Aided Design, CAD- 5: , October [5] Wojcech Maly. Modeling of lithography related yield losses for CAD of VLSI circuits. IEEE Transactions on Computer-Aided Design, Vol. CAD-4: , July [6] C. H. Stapper. Correlation analysis of particles of integrated circuit. IBM Journal of Research and Development, vol. 31: , November [7] H. van der Gaag, L. Th. G. Simons, and J. G. R. Okel. LOCAL45. Philips Research Laboratories, Eindhoven, December [8] R. Luescher and J. Solo de Zaldivar. A high density CMOS process. In IEEE Int. Solid-state Circuits Conf., pages , [9] M. Jacomet. MC103 - Kaskadierbarer und Programmierbarer 16-Bit CMOS Test Kontroller. Technical Report IFE-88/2, Swiss Federal Institute of Technology, Electronics Laboratory, March (101 Kenyon C. Y. Mei. Bridging and stuck-at faults. IEEE Transactions on Computers, C-23, No. 7: , July [ll] R. L. Wadsack. Fault modeling and logic siniulation of CMOS and MOS circuits. Bell System Technical Journal, , May - June [12] J. A. Abraham and P. Banerjee. Characterization and testing of physical failures in MOS logic circuits. IEEE Design 9 Test, 76, August [13] John Michael Acken. Deriving Accurate Fault Models. PhD thesis, Stanford University, Computer Systems Laboratory, October [14] Daniel Baschiera. Mode'lisation de Pannes et Me'thodes de Test de Circuits Inte'gre' CMOS. PhD thesis, Institut national polytechnique de Grenoble, [15] F. Joel Ferguson and John P. Shen. A CMOS fault extractor for inductive fault analysis. IEEE Transactions on Computer-Aided Deaign, , November

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 48 Testing of VLSI Circuits So, welcome back. So far in this

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors Modeling Gate Oxide Short Defects in CMOS Minimum Transistors M. Renovell, J.M. Gallière, F. Azaïs and Y. Bertrand Laboratoire d'informatique Robotique Microélectronique de Montpellier LIRMM-UMII Université

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits F.C.M. Kuijstermans A.P. Thijssen M. Sachdev Delft University of Technology, Faculty of Electrical Engineering, P.O.Box 5031, 20 GA Delft,

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

February IEEE, VI:20{32, 1985.

February IEEE, VI:20{32, 1985. Acknowledgements The authors thank Joel Ferguson, J. Alicia Grice, Alvin Jee, Haluk Konuk, Rich McGowen, and Carl Roth for technical contributions. This work was supported by the Semiconductor Research

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in The two-dimensional systems embedded in modulation-doped heterostructures are a very interesting and actual research field. The FIB implantation technique can be successfully used to fabricate using these

More information

(Refer Slide Time: 02:05)

(Refer Slide Time: 02:05) Electronics for Analog Signal Processing - I Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras Lecture 27 Construction of a MOSFET (Refer Slide Time:

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

Design Rules, Technology File, DRC / LVS

Design Rules, Technology File, DRC / LVS Design Rules, Technology File, DRC / LVS Prof. Dr. Peter Fischer VLSI Design: Design Rules P. Fischer, TI, Uni Mannheim, Seite 1 DESIGN RULES Rules in one Layer Caused by manufacturing limits (lithography,

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

isagers. Three aicron gate spacing was

isagers. Three aicron gate spacing was LIJEAR POLY GATE CHARGE COUPLED DEVICE IMAGING ARRAYS Lucien Randazzese Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A five cask level process was used to fabricate

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Winner-Take-All Networks with Lateral Excitation

Winner-Take-All Networks with Lateral Excitation Analog Integrated Circuits and Signal Processing, 13, 185 193 (1997) c 1997 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Winner-Take-All Networks with Lateral Excitation GIACOMO

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s. UNIT-I FIELD EFFECT TRANSISTOR 1. Explain about the Field Effect Transistor and also mention types of FET s. The Field Effect Transistor, or simply FET however, uses the voltage that is applied to their

More information

ELEC 350L Electronics I Laboratory Fall 2012

ELEC 350L Electronics I Laboratory Fall 2012 ELEC 350L Electronics I Laboratory Fall 2012 Lab #9: NMOS and CMOS Inverter Circuits Introduction The inverter, or NOT gate, is the fundamental building block of most digital devices. The circuits used

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Fault Diagnosis in Combinational Logic Circuits: A Survey

Fault Diagnosis in Combinational Logic Circuits: A Survey IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Fault Diagnosis in Combinational Logic Circuits: A Survey Sarang S. Samangadkar 1 Shridhar

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi. Introduction Reading: Chapter 1 Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Why study logic design? Obvious reasons

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye Q1a) The MOS System under External Bias Depending on the polarity and the magnitude of V G, three different operating regions can be observed for the MOS system: 1) Accumulation 2) Depletion 3) Inversion

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 Electrical Characterisation of CMOS Ring Oscillator This pamphlet describes a laboratory activity based on an integrated circuit originally designed and tested

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 72-80 A Novel Flipflop Topology for High Speed and Area

More information

Computer-Based Project on VLSI Design Co 3/8

Computer-Based Project on VLSI Design Co 3/8 Computer-Based Project on VLSI Design Co 3/8 This pamphlet describes a laboratory activity based on a former third year EIST experiment. Its purpose is the measurement of the switching speed of some CMOS

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 5, 2013 ISSN (online): 2321-0613 Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool Dheeraj

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Characterization of CMOS Defects using Transient Signal Analysis

Characterization of CMOS Defects using Transient Signal Analysis Characterization of CMOS Defects using Transient Signal Analysis Abstract James F. Plusquellic 1, Donald M. Chiarulli 2 and Steven P. Levitan 1 Department of CSEE, University of Maryland, Baltimore County

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

PHYSICS OF SEMICONDUCTOR DEVICES

PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES by J. P. Colinge Department of Electrical and Computer Engineering University of California, Davis C. A. Colinge Department of Electrical

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

STA1600LN x Element Image Area CCD Image Sensor

STA1600LN x Element Image Area CCD Image Sensor ST600LN 10560 x 10560 Element Image Area CCD Image Sensor FEATURES 10560 x 10560 Photosite Full Frame CCD Array 9 m x 9 m Pixel 95.04mm x 95.04mm Image Area 100% Fill Factor Readout Noise 2e- at 50kHz

More information

On spatial resolution

On spatial resolution On spatial resolution Introduction How is spatial resolution defined? There are two main approaches in defining local spatial resolution. One method follows distinction criteria of pointlike objects (i.e.

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information