Broadband Drivers with Wave Shape Control for Optical Fiber and Backplane Applications. Recommended reading: 1) Chapters 7 and 8, Sackinger

Size: px
Start display at page:

Download "Broadband Drivers with Wave Shape Control for Optical Fiber and Backplane Applications. Recommended reading: 1) Chapters 7 and 8, Sackinger"

Transcription

1 Broadband Drivers with Wave Shape Control for Optical Fiber and Backplane Applications Recommended reading: 1) Chapters 7 and 8, Sackinger 1

2 Outline Types of drivers Driver specification Driver building blocks and architecture Driver implementation and design examples 2

3 Types of drivers: backplane, cable IM + RL - + LASER VMOD EAM D D CK CK IM + - VMOD VMOD D D CK + - VMOD - RL MZM CK I/O (RL=50 Ω) backplane driver Coaxial cable (HDTV) (RL=75 Ω) Laser driver EAM (Electro-Absorption Modulator) driver MZM (Mach-Zehnder Modulator) driver 3

4 Types of drivers: DFB/FB Laser, VCSEL VDD = 1.2 V VFB R R L L 20µm/80nm Q3 32µm/80nm Q1 Q2 32µm/80nm Q4 48µm/80nm IT C. Kromer et al ISSCC 2005 Laser driver (RL=5..25 Ω, CL= pf) 4

5 EAML = EA Modulator + Laser [2] 5

6 Types of drivers: EAM EAM driver (RL=50 Ω, CL= pf) 6

7 2Vpp, 40-Gb/s mod. driver in 45-nm SOI Based on PA super-cascode concept (J. Buckwalter, UCSD, CICC-2010) 7

8 Types of drivers: Mach Zehnder Modulator [2] 8

9 Example of Mach-Zehnder Driver INP OUTP Q4 INN Q3 OUTN Q1 Q2 RE IE F IE F VOA RE Q5 RB 9

10 Outline Types of drivers Driver specification Driver building blocks and architecture Driver implementation and design examples 10

11 Driver Specification Modulation and bias current range (lasers) Voltage swing and bias voltage (modulators) Rise and fall time Input/output matching Pulse width distortion Jitter generation Eye-diagram mask test 11

12 Modulation and bias current range Directly modulated lasers Pout Temp IL IB DFB, VCSEL IB = (20) ma IM O D = (15) ma Threshold and slope are temperature dependent IMOD DC or AC coupled 12

13 Voltage swing and bias voltage range Externally modulated laser with EAM V = V B VMOD = V VB (DC offset) must be adjustable from driver DC-coupled 13

14 Voltage swing and bias voltage range Externally modulated laser with MZM V = V B Pou t VMOD = V VM VB MZM VB must be accurately set for V /2 VMOD AC or DC coupled 14

15 Rise and fall time B (or Rb) =bit rate T= period tr and tf defined at 20% to 80% less used: 10% to 90% T = 1/B tr tf 15

16 Input and output match: S1 1, S2 2 < -15 db for f < B/2 < -10 db for f < B < -5 db for B<f<2B 16

17 Pulse width distortion (PWD or DCD) T = 1/B tpwd T remains unchanged Eye crossing <> 50% Eye crossing tf Pulse width distorted 17

18 Jitter generation Deterministic jitter double-edging Peak-to-peak spec tjrpp tjfpp Random jitter rms spec. tjpp = 14 x tjrms 18

19 Eye mask test ISI vnrms VDTH vspp eye height T = 1/B tr tf random jitter tjrpp decision point Q = 1/(2Btjrms) Noise tjfpp Q = vsp p/(2vnr m s) 19

20 Outline Types of drivers Driver specification Driver building blocks and architecture Driver implementation and design examples 20

21 Driver architecture: traditional Pre-driver Output buffer Input buffer Pre-driver Optional DFF re-timer Output buffer 21

22 Driver architecture: DAC Latest trend, especially in long-haul fiberoptics Output swing limited to 400mVpp per side (to date) InP HBT, SiGe HBT and 65-nm CMOS 22

23 Driver building blocks Input buffer Pulse-width (duty cycle) control circuit Output buffer with amplitude control (all) with pre-emphasis control (VCSEL/DFB, equalizer) with DC offset control (EAM) 23

24 Output buffer: 10 Gb/s 1.8V 0.18 m CMOS Inductive peaking in penultimate stage» use 3-term ind. L = CR2/3.1(2.4) for best group delay (flat gain response) M.M. Green et al. ISSCC

25 0.13 m CMOS design example V= 0.6 Vpp per side IMOD= 24 ma IMOD/ (JpfT) =W (Q1,2) W= 24mA/(0.3mA/ m) = 80 m W f = 4 m, Nf = 20 Veff = 0.3V; gm = 64 ms 25

26 0.13 m CMOS design example (ii) τ = RG(Cgs + 3Cgd) + 25 (Cdb + Cgd+ CPAD) τ = 10(100 ff ff) + 25 (140 ff + 40 ff + 50 ff) = 2.2 ps ps => BW 3 d B = 20 GHz In reality input node time constant limited by previous stage R o To improve speed: add inductive peaking, use distributed topology or else reduce swing 26

27 Output amplitude control applied at 40 Gb/s in GaAs p-hemt driver Low amplitude Maximum amplitude Output swing of 1.7 V Output swing of 3 V D. McPherson et al. GaAs IC Symposium

28 Output amplitude control in 45-Gb/s SiGe BiCMOS driver Input (top) Output (bottom): 270 mvp p- per side Output: 611 mvp p- per side T. Dickson (JSSC, Aug. 2006) 28

29 Pre-emphasis control concept τ C R v emph t = pp vo v opp Frequency domain t t 29

30 Output buffer with analog pre-emphasis main path with amplitude control Parallel path with differentiator and current summer 30

31 Pre-emphasis in Gb/s backplane driver No peaking 25% peaking Courtesy of Quake Technologies,

32 Pulse-Width Control Concept Pre- and post hard limiter required High speed data signal DIN + DC control DCAP 32

33 PW control in 25-Gb/s 0.13 m CMOS driver 50% 40% 60% P. Westergaard et al. CICC

34 PW control at 40 Gb/s in GaAs p-hemt driver 30% DCD 66% DCD D. McPherson et al. GaAs IC Symposium

35 Output buffer with digital pre-emphasis main path with amplitude control two parallel paths with differentiator for leading and falling edge, respectively 35

36 Pre-emphasis control with 800 mvpp per side in 10-Gb/s SiGe BiCMOS VCSEL driver No peaking 25% peaking S. P. Voinigescu et al. CICC

37 Output DC offset control implementation Output offset control 37

38 Output DC offset control applied at 40 Gb/s Minimum offset Maximum offset "1" level at -0.2 V "1" level of 1.2 V D. McPherson et al. GaAs IC Symposium

39 Distributed output stage 1 BW 3dB= R L C T Lumped output: L/2 L C C Distributed output: C T =n C L C C L ZO= C L L L/2 C 1 BW 3dB= L C 39

40 40-Gb/s EA driver schematic 40

41 Gain block architecture D. McPherson et. al. GaAs IC Symposium

42 40-Gb/s EA modulator driver layout D. McPherson et. al. GaAs IC Symposium

43 On-Wafer S22 Measurements: 18 parts 43

44 Nominal eye-diagrams 40 Gb/s 44 Gb/s Output swing of 3.0 Vp - p per side Rise/fall times are 10.9/11.4 ps Jitter is 8.6 ps (peak to peak) 44

45 Pre-driver 45

46 SiGe BiCMOS input buffer example 46

47 Optional retimer [2] 47

48 Receiver linear pre-emphasis concept for cable equalizers ZC IN OUT ZE ZC IN OUT ZE 48

49 Gilbert-cell based driver pre-emphasis Summing outputs from R VDD R a stage with constant gain vs. OUTP OUTN Cntr 0.65 freq 0.65 a stage with Zpeak peaking gain (with INP INN R 4 capacitive degeneration) H. Shakiba, Gennum, ISSCC Gb/s cable equalizer 49

50 Low-frequency path A. Balteanu, BCTM

51 High-frequency path A. Balteanu, BCTM

52 Compensates for cable loss vs. freq. A. Balteanu, BCTM

53 Examples of 40+Gb/s equalizers, drivers and DACs with waveshape control 53

54 A 1.8V SiGe BiCMOS Cable Equalizer with 40-dB Peaking Control up to 60 GHz Ioannis Sarkas and Sorin Voinigescu CSICS

55 Continuous Time Linear Equalizers 55

56 First Two Stages 56

57 Middle Stages Adjustable DC gain also Important for linearity Adjustable zero frequency 57

58 Output Driver & Predriver 58 58

59 Die Photo STMicroelectronics 130nm SiGe BiCMOS process with ft/ fmax =220/280 GHz 1 0.7mm PDC = 250mW 59 59

60 Equalizer S-parameters S-Parameters (db) S S S Bits grouped: 3 gain 2 peaking 1 gain-inductive peaking Frequency (GHz) 60

61 6.1m Cable 44-Gb/s Equalized Eye 27-1 length 61

62 6.1m Cable 44-Gb/s Equalized Eye length 62

63 6.1m Cable 44-Gb/s Bathtub length 63

64 Setup for Cable 80Gb/s 64

65 80-Gb/s PRBS Output 27-1 length 65

66 2.7m Output Eyes 27-1 length 66

67 3.9m Cable Equalized S-parameters 0 Cable + Equalizer Cable S21 (db) dB Frequency (GHz) 30dB 40GHz BW = 50GHz after equalization 67

68 3.9m Cable Equalized Eye 68

69 Distributed 49-Gb/s equalizer in 0.18 m SiGe BiCMOS Output Driver Input A. Hazneci CSICS-2004 Matching & Comparator Pulse Width Control Delay Buffers 69

70 SiGe BiCMOS distributed amplifier/equalizer layout 70

71 40-Gb/s Distributed Cable Driver with Preemphasis Control (R.Aroca, CSICS-2007) 75Ω 75Ω Microstrip T-Line Sections INP 8V 75Ω 75Ω OUT P 5V 1 INN 8V Pre-Driver 8V AM P DCC OUTN 8V Amp & PreEmphasis Itail CNTRL 75Ω IOUT = 5Vpp/(75Ω//75Ω) = 133mA 19mA/section Must fully switch the DA predriver: 1.5Vpp, 40mA Gain of predriver = 1.5/0.2 = 18dB, 3dB/stage 6 stages Amplitude control is implemented in both the DA and predriver 71

72 DA Section Schematic ~5 V T-line section T-line compensation HV-HBT IO F F C ~5 V ft=75ghz fmax=100gh z C IM A I N R R VP RE VP RE IP R E 0.18µm n-mosfets ft=50ghz, fmax=75ghz RC-HPF & Digital HBT ft=160ghz, fmax=160ghz 72

73 Driver Microphotograph 1.2mm Predriver Distributed Amplifier 2.5mm 73

74 S-parameter Measurements vs. Simulations: 10dB of Amplitude Control 22GHz 10d B 74

75 S-Parameter Measurements vs. Simulations: 25 db of Pre-Emphasis Control 25d B 75

76 2.4Vpp, 60-Gb/s DAC-Driver in 65-nm CMOS (R. Aroca, et al, CSICS-10) 50Ω microstrip T-lines VIPDA VOPDA 1:2 1:2 1:2 1:2 1:2 1:2 50Ω 50Ω TIALA RETIMER [2] VIP 50Ω 4-bit CNTL 5 1.2V 4-bit CNTL 1.2V 4-bit CNTL 50Ω 2:1 2:1 2:1 4-bit CNTL 2: bit CNTL 4 VDD1 = 1.2V 2:1 INP INN 3 2:1 8mA 2 VONDA VINDA CLK ITAIL 1 VDD2 = 2V 12mA 4-bit Gain CNTRL 16mA 24mA 12mA Ω Binary-weighted, segmented diff pair: (W/L)M1 = 20 * (1µm/65nm) (W/L)M2 = 21 * (1µm/65nm) Lp3 (W/L)M5 = 24 * (1µm/65nm) 1.2V 1.2V 1.2V 75Ω V Lp3 1.2V Ls3 Deep Nwell 2V 50Ω Lp2 Ls1 M5 M4 M3 M2 Ls2 M1 M5 M2 M3 M4 M5 OUTP OUTN 50Ω 75Ω Lp1 OUTP OUTN V 1.2V Lp4 M5 M1,2,3,4 60x1µm LVT Ls4 Lbb5 INP LVT 26x0.8µm LVT 38x0.8µm INP VTAIL 8mA Stage 1 VTAIL 12mA Stage 2 B4 B3 B2 B1 B4 B3 B2 B1 Lbb3 B1,2,3,4 B1,2,3,4 Lbb3 HVT 38x0.8µm LVT 50x0.8µm VTAIL 16mA Stage 4 SVT 76x0.8µm VTAIL 24mA Stage 5 76

77 DA-only S-param meas.: all peaking states 77

78 Full driver S-param measurements 78

79 40-Gb/s eyes with ampl. cntr. DR = 30 db 1.2Vpp mVpp

80 40-Gb/s eyes with peaking control: 8 db 0.55Vp p 0.85Vp p Vp p

81 60-Gb/s Eyes with 500mVpp and 800mVpp swing per side 81

82 56-Gb/s DAC in 65-nm p-mos CML (Ciena ISSCC 2011) 82

83 Back-up 83

84 Design example: 40 Gb/s, 2V SiGe HBT driver 2V over 25 Ω load results in 80 ma tail current. IMOD= 80 ma, AE= 80mA/9mA = 9 µm2, LE = 45 µm gm = 1.6 S, Rb=6.7 Ω Cπ = 1.05 pf, Ccs = 50 ff, Cµ= 99 ff Use emitter degeneration (RE=12.5 Ω) such that Av= -2 (previous stage needs 1V swing!) τ = Rb[Cπ/(1+gmRE)+ 3 Cµ] + 25 (Ccs + Cµ + CPAD) 84

85 Design example: 40-Gb/s, 2V SiGe HBT driver τ = 6.7x(1.05p / p) + 25x 0.199ps τ = 2.3ps + 5ps = 8.3ps Assuming emitter followers to reduce source impedance BW 3dB = 19 GHz (not adequate) Inductive peaking not possible: current is too large and SRF becomes too low Use distributed amp with 5 stages, each with 16 ma tail 85

86 Design example: 40-Gb/s, 2Vswing SiGe HBT driver Gain per stage is still 2 gm = 0.32 S, Rb=33.5 Ω Cπ = 201 ff, Ccs = 10 ff, Cµ= 20 ff The input/output lines can be loaded by a unit capacitance of 100 ff L = C Zo2 = 250 ph BW 3dB = 1/[π(LC)1/2] = 63 GHz... but will be limited by frequency at which transistor MAG = 6 db (A v= 2) 86

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes S.P. Voinigescu1, T.O. Dickson1, T. Chalvatzis1, A. Hazneci1, E. Laskin1, R. Beerkens2,

More information

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS Masum Hossain & Anthony Chan Carusone Electrical & Computer Engineering University of Toronto Outline Applications g m -Boosting

More information

From 1 Tbs per Carrier to 1 THz

From 1 Tbs per Carrier to 1 THz From 1 Tbs per Carrier to 1 THz Sorin P. Voinigescu ECE Department, University of Toronto European Microwave Conference 1 Outline Introduction Examples of Tbs Wireless and Photonics Systems Segmented Power

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone Department of Electrical & Computer Eng. University of Toronto Canada Introduction

More information

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE.

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE. 19-2934; Rev 1; 6/7 1Gbps Modulator Driver General Description The is designed to drive high-speed optical modulators at data rates up to 1.7Gbps. It functions as a modulation circuit, with an integrated

More information

System-on-Chip Design Beyond 50 GHz

System-on-Chip Design Beyond 50 GHz System-on-Chip Design Beyond 50 GHz Sorin Voinigescu, Michael Gordon, Chihou Lee, Terry Yao, Alain Mangan, and Ken Yau University of Toronto July 20, 2005 1 Outline Motivation Optimal sizing of active

More information

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Sean T. Nicolson and Sorin Voinigescu University of Toronto sorinv@eecg.toronto.edu CSICS-006, San Antonio, November 15, 006 1 Outline

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth S.P. Voinigescu, R. Beerkens*, T.O. Dickson, and T. Chalvatzis University of Toronto *STMicroelectronics,

More information

Datasheet. Preliminary. Transimpedance Amplifier 56 Gbit/s T56-150C. Product Description.

Datasheet. Preliminary. Transimpedance Amplifier 56 Gbit/s T56-150C. Product Description. Transimpedance Amplifier 56 Gbit/s Product Code: Product Description Sample image only. Actual product may vary Preliminary The is a high speed transimpedance amplifier (TIA) IC designed for use by 56G

More information

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. XX, NO. XX, MONTH YEAR 1 Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS Theodoros Chalvatzis, Student Member, IEEE, Kenneth H. K. Yau, Student

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

65-nm CMOS, W-band Receivers for Imaging Applications

65-nm CMOS, W-band Receivers for Imaging Applications 65-nm CMOS, W-band Receivers for Imaging Applications Keith Tang Mehdi Khanpour Patrice Garcia* Christophe Garnier* Sorin Voinigescu University of Toronto, *STMicroelectronics University of Toronto 27

More information

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns TU3B-1 Student Paper Finalist An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns H. Park 1, S. Daneshgar 1, J. C. Rode 1, Z. Griffith

More information

13607CP 13 GHz Latched Comparator Data Sheet

13607CP 13 GHz Latched Comparator Data Sheet 13607CP 13 GHz Latched Comparator Data Sheet Applications Broadband test and measurement equipment High speed line receivers and signal regeneration Oscilloscope and logic analyzer front ends Threshold

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

7. Low-Noise Amplifier Design

7. Low-Noise Amplifier Design 7. Low-Noise Amplifier Design 1 Outline Low noise amplifier overview Tuned LNA design methodology Tuned LNA frequency scaling and porting Broadband low noise amplifier design methodology 2 7.1 LNA overview

More information

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects 19-1855 Rev 0; 11/00 +3.3V, 2.5Gbps Quad Transimpedance Amplifier General Description The is a quad transimpedance amplifier (TIA) intended for 2.5Gbps system interconnect applications. Each of the four

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 2013 IEEE Compound Semiconductor IC Symposium, October 13-15, Monterey, C 30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 1 H.C. Park, 1 S.

More information

Millimeter-Wave Amplifiers for E- and V-band Wireless Backhaul Erik Öjefors Sivers IMA AB

Millimeter-Wave Amplifiers for E- and V-band Wireless Backhaul Erik Öjefors Sivers IMA AB Millimeter-Wave Amplifiers for E- and V-band Wireless Backhaul Erik Öjefors Sivers IMA AB THz-Workshop: Millimeter- and Sub-Millimeter-Wave circuit design and characterization 26 September 2014, Venice

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

2.1GHz. 2.1GHz 300nA RMS SFP OPTICAL RECEIVER IN+ MAX3748A IN- RSSI DISABLE LOS DS1858/DS1859 SFP. Maxim Integrated Products 1

2.1GHz. 2.1GHz 300nA RMS SFP OPTICAL RECEIVER IN+ MAX3748A IN- RSSI DISABLE LOS DS1858/DS1859 SFP. Maxim Integrated Products 1 19-2927; Rev 1; 8/03 RSSI (BW) 0.85pF 330nA 2mA P-P 2.7Gbps 2.1GHz +3.3V 93mW / 30-mil x 50-mil 580Ω TO-46 TO-56 MAX3748A Maxim RSSI MAX3748A DS1858/DS1859 SFP SFF-8472 2.7Gbps SFF/SFP (SFP) * 2.7Gbps

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 26.4 40Gb/s CMOS Distributed Amplifier for Fiber-Optic Communication Systems H. Shigematsu 1, M. Sato 1, T. Hirose 1, F. Brewer 2, M. Rodwell 2 1 Fujitsu,

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology

A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology Journal of Instrumentation OPEN ACCESS A radiation tolerant 5 Gb/s Laser Driver in 130 nm CMOS technology To cite this article: G Mazza et al View the article online for updates and enhancements. Related

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

1.2 Gbps LVDS transmitter/receiver

1.2 Gbps LVDS transmitter/receiver SPECIFICATION 1 FEATURES TSMC CMOS 180 nm 3.3 V power supply 1.2 Gbps (DDR MODE) switching rates (600 MHz) Half-duplex or full-duplex operation mode Conforms to TIA/EIA-644 LVDS standards without hysteresis

More information

Design and Scaling of W-Band SiGe BiCMOS VCOs

Design and Scaling of W-Band SiGe BiCMOS VCOs Design and Scaling of W-Band SiGe BiCMOS VCOs S. T. Nicolson 1, K.H.K Yau 1, P. Chevalier 2, A. Chantre 2, B. Sautreuil 2, K.A. Tang 1, and S. P. Voinigescu 1 1) Edward S. Rogers, Sr. Dept. of Electrical

More information

PART. Maxim Integrated Products 1

PART. Maxim Integrated Products 1 19-1999; Rev 4; 7/04 3.2Gbps Adaptive Equalizer General Description The is a +3.3V adaptive cable equalizer designed for coaxial and twin-axial cable point-to-point communications applications. The equalizer

More information

13700DF 13 Gbps D Flip-Flop Data Sheet

13700DF 13 Gbps D Flip-Flop Data Sheet 13700DF 13 Gbps D Flip-Flop Data Sheet Applications High-speed (up to 13 GHz) digital logic High-speed (up to 13 Gbps) serial data transmission systems Broadband test and measurement equipment Features

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

SiGe BiCMOS AND CMOS TRANSCEIVER BLOCKS FOR AUTOMOTIVE RADAR AND IMAGING APPLICATIONS IN THE GHz RANGE

SiGe BiCMOS AND CMOS TRANSCEIVER BLOCKS FOR AUTOMOTIVE RADAR AND IMAGING APPLICATIONS IN THE GHz RANGE SiGe BiCMOS AND CMOS TRANSCEIVER BLOCKS FOR AUTOMOTIVE RADAR AND IMAGING APPLICATIONS IN THE 80-160 GHz RANGE S.P. Voinigescu 1, S. Nicolson 1, E. Laskin 1, K. Tang 1 and P. Chevalier 2 1) ECE Dept., University

More information

Capacitive-Division Traveling-Wave Amplifier with 340 GHz Gain-Bandwidth Product

Capacitive-Division Traveling-Wave Amplifier with 340 GHz Gain-Bandwidth Product Hughes Presented at the 1995 IEEE MTT-S Symposium UCSB Capacitive-Division Traveling-Wave Amplifier with 340 GHz Gain-Bandwidth Product J. Pusl 1,2, B. Agarwal1, R. Pullela1, L. D. Nguyen 3, M. V. Le 3,

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1 A High Speed Operational Amplifier A. Halim El-Saadi, Mohammed El-Tanani, University of Michigan Abstract This paper

More information

RESEARCH is underway in many industry and academic

RESEARCH is underway in many industry and academic IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 65, NO. 12, DECEMBER 2017 5355 Linear Large-Swing Push Pull SiGe BiCMOS Drivers for Silicon Photonics Modulators Alireza Zandieh, Member, IEEE,

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A COMPARISON OF SILICON AND III V TECHNOLOGY PERFORMANCE AND BUILDING BLOCK IMPLEMENTATIONS FOR 10 AND 40 Gb/s OPTICAL NETWORKING ICs

A COMPARISON OF SILICON AND III V TECHNOLOGY PERFORMANCE AND BUILDING BLOCK IMPLEMENTATIONS FOR 10 AND 40 Gb/s OPTICAL NETWORKING ICs International Journal of High Speed Electronics and Systems World Scientific Publishing Company A COMPARISON OF SILICON AND III V TECHNOLOGY PERFORMANCE AND BUILDING BLOCK IMPLEMENTATIONS FOR 10 AND 40

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

HMC847LC5 MUX & DEMUX - SMT. Features. Typical Applications. Functional Diagram. General Description

HMC847LC5 MUX & DEMUX - SMT. Features. Typical Applications. Functional Diagram. General Description Typical Applications Features The HMC847LC5 is ideal for: SONET OC-768 RF ATE Applications Broadband Test & Measurements Serial Data Transmission up to 45 Gbps High Speed DAC Interfacing Functional Diagram

More information

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS E. Mammei, F. Loi, F. Radice*, A. Dati*, M. Bruccoleri*, M. Bassi, A. Mazzanti

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

1Gsps Dual-Stage Differential Track-and-Hold TH721

1Gsps Dual-Stage Differential Track-and-Hold TH721 1Gsps Dual-Stage Differential Track-and-Hold TH721 PRODUCT DESCRIPTION TH721 is a dual-stage differential Track-and-Hold amplifier with independent clock inputs. TH721 is able to sample 1 GHz signal with

More information

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT-

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT- 19-2105; Rev 2; 7/06 +3.3V, 2.5Gbps Low-Power General Description The transimpedance amplifier provides a compact low-power solution for 2.5Gbps communications. It features 495nA input-referred noise,

More information

Adaptive Cable Equalizer for IEEE 1394b

Adaptive Cable Equalizer for IEEE 1394b EQCO400T Features Adaptive Cable Equalizer for IEEE 1394b Functional Description Multi-Rate Adaptive Equalization Supports IEEE 1394b - S400, S200 and S100 data rates Seamless connection with compliant

More information

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades M. Menouni a, P. Gui b, P. Moreira c a CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France b SMU, Southern Methodist

More information

HFD Fiber Optic LAN Components 1.25Gbps PIN Plus Preamplifier with RSSI

HFD Fiber Optic LAN Components 1.25Gbps PIN Plus Preamplifier with RSSI with RSSI FEATURES rates > 1 GHz PIN detector, preamplifier, and bypass filtering in a TO-46 hermetic package 5V or 3.3V operation GaAs PIN detector and Transimpedance amplifier Differential Output for

More information

Features. mvp-p Differential, peak-to-peak Input High Voltage V Input Low Voltage -1 0 V. Differential, 40 Gbps

Features. mvp-p Differential, peak-to-peak Input High Voltage V Input Low Voltage -1 0 V. Differential, 40 Gbps Typical Applications Features The is ideal for: RF ATE Applications Broadband Test & Measurement Serial Data Transmission up to 45 Gbps Digital Logic Systems up to 25 GHz NRZ-to-RZ Conversion Functional

More information

HMC914LP4E. limiting amplifiers - smt Gbps LIMITING AMPLIFIER w/ LOSS OF SIGNAL FEATURE. Typical Applications. General Description

HMC914LP4E. limiting amplifiers - smt Gbps LIMITING AMPLIFIER w/ LOSS OF SIGNAL FEATURE. Typical Applications. General Description Typical Applications The is ideal for: SONET/SDH-Based Transmission Systems OC-192 Fiber Optic Modules 1 Gigabit Ethernet 8x and 1x Fiber Channel Wideband RF Gain Block Features Supports Data Rates up

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622(ESS) Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

Symbol Parameters/conditions Min. Typ. Max. Units

Symbol Parameters/conditions Min. Typ. Max. Units it31e 1 GHz Medium-Gain Differential Amplifier Description Features Absolute Maximum Ratings The it31e is a RoHS-6-compliant packaged differential amplifier designed for use in 1.7 Gb/s and 1.5 Gb/s (OC-19)

More information

56 Gb/s 4:1 Multiplexer Module

56 Gb/s 4:1 Multiplexer Module MS4S1V2M Datasheet CENTELLAX Description Applications The MS4S1V2M can be used with existing equipment to generate higher rate bit streams for use in telecom applications up to 56 Gb/s. Broadband test

More information

Optical Modulator Driver with Internal Attenuator and Power Detector HMC7810A

Optical Modulator Driver with Internal Attenuator and Power Detector HMC7810A Data Sheet Optical Modulator Driver with Internal Attenuator and Power Detector FEATURES 32.0 Gbps maximum data rate 13 ps typical output rise time and fall time 28 GHz bandwidth Self biased, no power

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN 1.Introduction: CMOS Transimpedance Amplifier Avalanche photodiodes (APDs) are highly sensitive,

More information

available options TA PACKAGED DEVICE FEATURES 40 C to 85 C ONET2501PARGT 2.5-Gbps limiting amplifier with LOS and RSSI

available options TA PACKAGED DEVICE FEATURES 40 C to 85 C ONET2501PARGT 2.5-Gbps limiting amplifier with LOS and RSSI features Multi-Rate Operation from 155 Mbps Up to 2.5 Gbps Low Power Consumption Input Offset Cancellation High Input Dynamic Range Output Disable Output Polarity Select CML Data Outputs Receive Signals

More information

GaN Power Amplifiers for Next- Generation Wireless Communications

GaN Power Amplifiers for Next- Generation Wireless Communications GaN Power Amplifiers for Next- Generation Wireless Communications Jennifer Kitchen Arizona State University Students: Ruhul Hasin, Mahdi Javid, Soroush Moallemi, Shishir Shukla, Rick Welker Wireless Communications

More information

PRODUCT DATASHEET CGY2144UH/C2. DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION FEATURES APPLICATIONS. 43 Gb/s OC-768 Receiver

PRODUCT DATASHEET CGY2144UH/C2. DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION FEATURES APPLICATIONS. 43 Gb/s OC-768 Receiver PRODUCT DATASHEET DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION The is a broadband distributed amplifier designed especially for OC-768 (43 Gb/s) based fiber optic networks. The amplifier can be

More information

Development of EA Modulator Driver for 40GbE using InP DHBTs

Development of EA Modulator Driver for 40GbE using InP DHBTs Development of EA Modulator Driver for 4GbE using InP DHBTs Fumihito Hirabayashi, Yukio Ohkubo, Naoshi Sasaki [Summary] The 4GBASE-FR is one of the Ethernet physical layer specifications of 4GbE standards

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

Low-Noise Amplifiers

Low-Noise Amplifiers 007/Oct 4, 31 1 General Considerations Noise Figure Low-Noise Amplifiers Table 6.1 Typical LNA characteristics in heterodyne systems. NF IIP 3 db 10 dbm Gain 15 db Input and Output Impedance 50 Ω Input

More information

Module shown with bent lead option OPTBLD

Module shown with bent lead option OPTBLD Dual Input 43 Gb/s Broadband 4.5 V Modulator Driver Amplifier Product Highlights Dual 4.5 V Outputs Module shown with bent lead option OPTBLD 0.5 ps added RMS jitter 6 ps rise / fall time 17 db gain to

More information

Programmable LVDS Transmitter/Receiver SPECIFICATION

Programmable LVDS Transmitter/Receiver SPECIFICATION SPECIFICATION 1 FEATURES TSMC 90nm CMOS LP 1V CMOS input logic signal Output current digital 3 bit adjustment (from 0.75mA to 6.5mA) 1.6 Gbps (DDR MODE) switching rates for transmitter Low power dissipation

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information

Differential Amplifiers

Differential Amplifiers Differential Amplifiers Benefits of Differential Signal Processing The Benefits Become Apparent when Trying to get the Most Speed and/or Resolution out of a Design Avoid Grounding/Return Noise Problems

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet

EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet REV 1.0 2904 KEY FEATURES Four channel NRZ Pulse Pattern Generator and Error Detector Operating range between 24.6 to 29.5 Gb/s along with

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK Typical Applications The is ideal

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622 Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

Technology Overview. MM-Wave SiGe IC Design

Technology Overview. MM-Wave SiGe IC Design Sheet Code RFi0606 Technology Overview MM-Wave SiGe IC Design Increasing consumer demand for high data-rate wireless applications has resulted in development activity to exploit the mm-wave frequency range

More information

Dual-Rate Fibre Channel Limiting Amplifier

Dual-Rate Fibre Channel Limiting Amplifier 19-375; Rev 1; 7/3 Dual-Rate Fibre Channel Limiting Amplifier General Description The dual-rate Fibre Channel limiting amplifier is optimized for use in dual-rate.15gbps/1.65gbps Fibre Channel optical

More information

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima

Measurement and Modeling of CMOS Devices in Short Millimeter Wave. Minoru Fujishima Measurement and Modeling of CMOS Devices in Short Millimeter Wave Minoru Fujishima Our position We are circuit designers. Our final target is not device modeling, but chip demonstration. Provided device

More information

MAOM Optical Modulator Driver Gbps Rev. V1 Features 3V to 8V Output Drive Level Single-Ended Input/Output High Gain 32 db Low Power Di

MAOM Optical Modulator Driver Gbps Rev. V1 Features 3V to 8V Output Drive Level Single-Ended Input/Output High Gain 32 db Low Power Di Features 3V to 8V Output Drive Level Single-Ended Input/Output High Gain 32 db Low Power Dissipation (0.95 W @ 6 Vo) Low Additive Jitter (typically 1.0 ps rms) 25 psec Edge Rates (20/80%) Lead-Free 11.4x8.9x1.4

More information

A 56Gb/s PAM-4 VCSEL driver circuit

A 56Gb/s PAM-4 VCSEL driver circuit ISSC 2012, NUI Maynooth, June 28-29 56Gb/s PM-4 VCSEL driver circuit N. Quadir*, P. Ossieur* and P. D. Townsend* *Photonic Systems Group, Tyndall National Institute, University College Cork, Ireland email:nasir.quadir@tyndall.ie

More information

PRODUCT DATASHEET CGY2102UH/C Gb/s TransImpedance Amplifier DESCRIPTION FEATURES APPLICATIONS

PRODUCT DATASHEET CGY2102UH/C Gb/s TransImpedance Amplifier DESCRIPTION FEATURES APPLICATIONS PRODUCT DATASHEET 2.5 Gb/s TransImpedance Amplifier DESCRIPTION The CGY2102UH is a high performance 2.5 Gb/s TransImpedance Amplifier (TIA). Typical use is as a low noise preamplifier for lightwave receiver

More information

GATE SOLVED PAPER - IN

GATE SOLVED PAPER - IN YEAR 202 ONE MARK Q. The i-v characteristics of the diode in the circuit given below are : v -. A v 0.7 V i 500 07 $ = * 0 A, v < 0.7 V The current in the circuit is (A) 0 ma (C) 6.67 ma (B) 9.3 ma (D)

More information

EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet

EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet REV 1.0 1504 KEY FEATURES Four channel NRZ Pulse Pattern Generator and Error Detector Wide operating range between 1 to 15 Gb/s and beyond

More information

622Mbps, Ultra-Low-Power, 3.3V Transimpedance Preamplifier for SDH/SONET

622Mbps, Ultra-Low-Power, 3.3V Transimpedance Preamplifier for SDH/SONET 19-1601; Rev 2; 11/05 EVALUATION KIT AVAILABLE 622Mbps, Ultra-Low-Power, 3.3V General Description The low-power transimpedance preamplifier for 622Mbps SDH/SONET applications consumes only 70mW at = 3.3V.

More information

VITESSE SEMICONDUCTOR CORPORATION. Bandwidth (MHz) VSC

VITESSE SEMICONDUCTOR CORPORATION. Bandwidth (MHz) VSC Features optimized for high speed optical communications applications Integrated AGC Fibre Channel and Gigabit Ethernet Low Input Noise Current Differential Output Single 5V Supply with On-chip biasing

More information

TOP VIEW V CC 1 V CC 6. Maxim Integrated Products 1

TOP VIEW V CC 1 V CC 6. Maxim Integrated Products 1 19-3486; Rev 1; 11/5 1Gbps Clock and Data Recovery General Description The is a 1Gbps clock and data recovery (CDR) with limiting amplifier IC for XFP optical receivers. The and the MAX3992 (CDR with equalizer)

More information

DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler

DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler UXD20P Datasheet CENTELLAX DC - 20 GHz Programmable 1,2,4,8 Binary Prescaler Features Wide Operating Range: DC - 20GHz Low SSB Phase Noise: -153 dbc @ 10kHz Large Output Swings: 750mV ppk/side Single-Ended

More information

ASNT5530-KMC DC-45Gbps Limiting Amplifier

ASNT5530-KMC DC-45Gbps Limiting Amplifier ASNT5530-KMC DC-45Gbps Limiting Amplifier Broadband limiting amplifier with adjustable gain, output peaking, and offset controls Low jitter and limited temperature variation over industrial temperature

More information

HMC6590. transimpedance amplifiers - chip. 43 Gbps Transimpedance Amplifier. Typical Applications. Features. Functional Diagram. General Description

HMC6590. transimpedance amplifiers - chip. 43 Gbps Transimpedance Amplifier. Typical Applications. Features. Functional Diagram. General Description Typical Applications The is ideal for: 40 GbE-FR 40 GBps VSR / SFF Short, intermediate, and long-haul optical receivers Features Supports data rates up to 43 Gbps Internal DCA feedback with external adjustment

More information

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes

Algorithmic Design Methodologies and Design Porting of Wireline Transceiver IC Building Blocks Between Technology Nodes Algorithmic Design Methodologies and Design Porting of Wireline Transceiver I Building Blocks Between Technology Nodes S.P. oinigescu, T.O. Dickson, T. halvatzis, A. Hazneci, E. Laskin, R. Beerkens*, and

More information

10-Bit µp-compatible D/A converter

10-Bit µp-compatible D/A converter DESCRIPTION The is a microprocessor-compatible monolithic 10-bit digital-to-analog converter subsystem. This device offers 10-bit resolution and ±0.1% accuracy and monotonicity guaranteed over full operating

More information

12 Bit 1.2 GS/s 4:1 MUXDAC

12 Bit 1.2 GS/s 4:1 MUXDAC RDA012M4 12 Bit 1.2 GS/s 4:1 MUXDAC Features 12 Bit Resolution 1.2 GS/s Sampling Rate 4:1 or 2:1 Input Multiplexer Differential Analog Output Input code format: Offset Binary Output Swing: 600 mv with

More information

HMC848LC5 MUX & DEMUX - SMT. 45 Gbps, 1:4 DEMUX WITH PROGRAMMABLE OUTPUT VOLTAGE. Typical Applications. Features. Functional Diagram

HMC848LC5 MUX & DEMUX - SMT. 45 Gbps, 1:4 DEMUX WITH PROGRAMMABLE OUTPUT VOLTAGE. Typical Applications. Features. Functional Diagram Typical Applications Features The is ideal for: SONET OC-768 RF ATE Applications Broadband Test & Measurements Serial Data Transmission up to 45 Gbps High Speed ADC Interfacing Functional Diagram Supports

More information