WEEK 4.1. ECE124 Digital Circuits and Systems Page 1

Size: px
Start display at page:

Download "WEEK 4.1. ECE124 Digital Circuits and Systems Page 1"

Transcription

1 WEEK 4.1 ECE124 Digital Circuits and Systems Page 1

2 Combina=onal circuits A combinatorial circuit is one that consists of logic gates with outputs that are determined en=rely by the present value of the inputs. Combinatorial circuits might be 2 level logic (SOP,POS) or mul= level. Black bo illustra=on (there is no storage elements inside the bo): i1 i2 i3? o1 o2 in om Two opera=ons we might want to perform: Analysis given what is in the bo, what func:on(s) does it perform? Design given func:ons to perform, what do we need in the bo? ECE124 Digital Circuits and Systems Page 2

3 Analysis (for combina=onal circuits) Determines the func=on performed by a circuit. Starts with a circuit, and the result of analysis are the logic func=ons. Steps (assuming we have inputs, outputs and gates iden=fied): Label intermediate logic gate outputs star:ng from the inputs with arbitrary signal names. Determine the Boolean func=ons for each gate output star:ng from the inputs. Con=nue, moving towards the outputs un=l we have epressions for the outputs in terms of the inputs. We introduce etra signals and work our way through the circuit from inputs to the outputs. ECE124 Digital Circuits and Systems Page 3

4 Analysis eample (1) Intermediate signals introduced as required (T1, T2, T3) a b c a b c a b a c b c T2 T1 T3 f1 f2 This bunch of logic equa=ons does the trick, but we can subs=tute out the temporary signals to get an input output rela=onship. ECE124 Digital Circuits and Systems Page 4

5 Analysis eample (2) The input output rela=onship (removing temporary signals): ECE124 Digital Circuits and Systems Page 5

6 Design (for combina=onal circuits) Opposite of analysis Given func=ons to be performed, implement a combinatorial circuit that performs the func=ons. Steps: From specifica=ons, determine number of inputs and outputs. Derive the func=ons, or truth tables, that define input output rela=onship. Derive simplified Boolean func=ons. Draw the circuit diagram and verify correctness. Note: We might end up with a 2 level circuit (SOP,POS) or possibly a mul= level circuit implementa=on. ECE124 Digital Circuits and Systems Page 6

7 Design eample (1) Consider the following 4 input (a,b,c,d), 4 output (w,,y,z) circuit specified via K Maps. ab cd ab cd z y ab cd ab cd w ECE124 Digital Circuits and Systems Page 7

8 Design eample (2) We could just implement the SOP for each function individually. Could also (maybe) try and see if some product terms can be shared. Could also try to factor/decompose functions to find shared equations ECE124 Digital Circuits and Systems Page 8

9 Design eample (3) Mul=level factored circuit requires 9 gates and 17 gate inputs (inverters connected directly at inputs are free). 2 level implementa=on requires 10 gates and 23 gate inputs. c d b a c+d cd (c+d)' b(c+d) z y w ECE124 Digital Circuits and Systems Page 9

10 Combinatorial circuits (arithme=c) Some combina=onal circuits are very common and it is worth looking at them in more detail. One par=cular class of very useful circuits are arithme:c circuits; i.e., those circuits used for performing opera=ons such as: addi:on, subtrac:on, mul:plica:on, etc. of binary numbers. ECE124 Digital Circuits and Systems Page 10

11 Binary half adder circuit Basic definition of addition is to take two bits, and add them, producing a sum and a carry out. The circuit that produces these two outputs is called a binary half-adder. ECE124 Digital Circuits and Systems Page 11

12 Binary half adder implementa=on We can draw different implementations of a binary half-adder (depends on availability of XOR gates):! y!y sum y sum y cout cout ECE124 Digital Circuits and Systems Page 12

13 Binary full adders Most commonly, we are interested in adding n bit numbers. Therefore, we need to be able to also handle a carry in signal. The circuit implemen=ng these two func=ons is known as a binary full adder. Sum: odd #1 s EXOR ECE124 Digital Circuits and Systems Page 13

14 Binary full adder implementa=on We can draw different implementations of binary full adders. y cin sum y sum y cin ycin cout cin cout Note that the 2-nd implementation uses 2 half-adders to implement the full-adder. ECE124 Digital Circuits and Systems Page 14

15 Ripple adders for n bit addi=on Can build n-bit adders to add A = (a n-1 a n-2 a 1 a 0 ) and B = (b n-1 b n-2 b 1 b 0 ) simply by linking 1-bit full adders together. FA FA FA FA We might want to think about the performance (delay) of this adder circuit ECE124 Digital Circuits and Systems Page 15

16 Ripple adder performance (1) Recall that we spoke about combinational logic gates having some delay (i.e., a change in an input does not cause the output to change immediately) Assume that a logic gate has delay of 1 unit. Assume that each of our full-adders is built from half-adders. We can therefore trace/identify the longest combinatorial path in the circuit. This is the path that determines the performance of the circuit; The delay of the longest path tells us the minimum amount of time that we need to wait for the output to be correct. y sum FA FA FA FA cout cin ECE124 Digital Circuits and Systems Page 16

17 Issue of Ripple Adder Carry propaga=on is the main issue in an N bit ripple adder A faster adder needs to address the serial propaga=on of the carry bit Lets re eamine the equa=on for full adders

18 Ripple adder performance (2) For the ripple adder, it is possible that a change in the LSB of A or B (i.e., a 0 or b 0 ) will cause a change in the carry out of the MSB (i.e., c n ) We can identify the longest path: Delay is f(,y) only; performed at the same time for all stages. y sum FA FA FA FA cout cin We can compute the longest path for an n-bit ripple adder as follows: For Stages FA 1 FA 2, For Stage FA 0 ECE124 Digital Circuits and Systems Page 18

19 4 bit Ripple Adder using Full Adder A3 B3 A2 B2 A1 B1 A0 B0 A B A B A B A B Carry Full Cout Adder Cin Full Cout Adder Cin Full Cout Adder Cin Full Cout Adder Cin S S S S S3 S2 S1 S0

20 Full Adder Propaga=on Delay A0 B0 Carry Cin S0 1 st Stage Critical Path = 3 gate delays = D XOR +D AND +D OR

21 Full Adder Propaga=on Delay A1 B1 A0 B0 Cin S1 2 nd Stage Critical Path = 2 gate delays = D AND +D OR (Since 1 st Critical path > D XOR ) S0 1 st Stage Critical Path = 3 gate delays = D XOR +D AND +D OR

22 Issue of 4 bit Ripple Adder A3 B3 A2 B2 A1 B1 A0 B0 Carry Cin S3 S2 S1 S0 Critical Path = D XOR +4*(D AND +D OR ) for 4-bit ripple adder (9 gate levels) For an N-bit ripple adder Critical Path Delay ~ 2(N-1)+3 = (2N+1) Gate delays

23 Carry look ahead adders Ripple adders can be very slow for large numbers of bits. If we can calculate the carry ins faster, then we can build a faster adder. Consider the i-th bit of the adder, and identify two signals, namely the propagate p i and generate g i : (i) y(i) p(i) s(i) c(i) g(i) c(i+1) Write the carry out c i+1 in terms of the p i and g i signals instead. ECE124 Digital Circuits and Systems Page 23

24 Carries wri`en as func=ons of the propagates and generates We can consider writing all the carry outs in terms of the p i and g i signals, but substitute previously calculated carries as we go (i) y(i) p(i) s(i) This should be g 2 c(i) g(i) c(i+1) We have written the carries in terms of values all computed when the inputs are applied to the circuit: All p i and g i are computed after 1 gate delay. All c i are then computed after 2 more gate delays, since the are 2-level SOP in terms of p i and g i. ECE124 Digital Circuits and Systems Page 24

25 Carry look ahead performance If we use carry lookahead to generate all of the carries, then our adder will have a delay of 3 units of gate delay to get c n. What is the penalty to be paid? The carry lookahead circuit is 2 level logic (SOP) and we should see that higher numbered carries require more AND gates as well as AND/OR gates with a large number of inputs. It becomes imprac=cal (cant get AND/OR gates with large numbers of inputs). It becomes epensive in terms of the number of logic gates required. So, we get be`er performance, but we pay for it in terms of area and cost of the circuit implementa=on. ECE124 Digital Circuits and Systems Page 25

26 Combina=ons of ripple and carry look ahead circuits We can get something better than a ripple adder, but not as good as full carry lookahead by cascading smaller carry lookahead adders E.g., consider a 16-bit adder composed of 4, 4-bit carry lookahead adders. 4-CLA 4-CLA 4-CLA 4-CLA Performance will be = 9 units of gate delay to get c 16 (notice a ripple adder would have required 2(16)+1 = 33 units of delay. ECE124 Digital Circuits and Systems Page 26

27 Trade off area vs. delay Demonstration of Area vs. Delay for different ways of making an 8-bit adder. Note: delay is assumed to be time to generate c 8 Note: cost is calculated as #gates+#gate inputs. Note: ma gate means #inputs to the largest gate required 8-bit adder design type delay cost ma gate 250 ripple (8, 1-bit FA) 17 gates Delay Area 4, 2-bit CLA 7 gates , 4-bit CLA 5 gates , 8-bit CLA 3 gates ripple (8, 1-bit FA) 4, 2-bit CLA 2, 4-bit CLA 1, 8-bit CLA ECE124 Digital Circuits and Systems Page 27

Unit 3. Logic Design

Unit 3. Logic Design EE 2: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Unit 3 Chapter Combinational 3 Combinational Logic Logic Design - Introduction to Analysis & Design

More information

Function Table of an Odd-Parity Generator Circuit

Function Table of an Odd-Parity Generator Circuit Implementation of an Odd-Parity Generator Circuit The first step in implementing any circuit is to represent its operation in terms of a Truth or Function table. The function table for an 8-bit data as

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 5a Fast Addition Israel Koren ECE666/Koren Part.5a.1 Ripple-Carry Adders Addition - most

More information

Structural VHDL Implementation of Wallace Multiplier

Structural VHDL Implementation of Wallace Multiplier International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 1829 Structural VHDL Implementation of Wallace Multiplier Jasbir Kaur, Kavita Abstract Scheming multipliers that

More information

COMBINATIONAL LOGIC CIRCUIT First Class. Dr. AMMAR ABDUL-HAMED KHADER

COMBINATIONAL LOGIC CIRCUIT First Class. Dr. AMMAR ABDUL-HAMED KHADER COMBINATIONAL LOGIC CIRCUIT First Class 1 BASIC ADDER Adders are important in computers and also in other types of digital system in which numerical data are processed. An understanding of the basic operation

More information

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science

Combinational Logic. Rab Nawaz Khan Jadoon DCS. Lecturer COMSATS Lahore Pakistan. Department of Computer Science Combinational Logic Rab Nawaz Khan Jadoon DCS COMSATS Institute of Information Technology Lecturer COMSATS Lahore Pakistan Digital Logic and Computer Design 2 Combinational logic A combinational circuit

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

EECS 270 Winter 2017, Lecture 15 Page 1 of 8

EECS 270 Winter 2017, Lecture 15 Page 1 of 8 EECS 270 Winter 2017, Lecture 15 Page 1 of 8 Mealy machines (6.3) A Mealy machine is one where the outputs depend directly on the inputs. That has significantly more implications than you d think. First

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

Comparative Analysis of Various Adders using VHDL

Comparative Analysis of Various Adders using VHDL International Journal of Engineering and Technical Research (IJETR) ISSN: 2321-0869, Volume-3, Issue-4, April 2015 Comparative Analysis of Various s using VHDL Komal M. Lineswala, Zalak M. Vyas Abstract

More information

UNIT III. Designing Combinatorial Circuits. Adders

UNIT III. Designing Combinatorial Circuits. Adders UNIT III Designing Combinatorial Circuits The design of a combinational circuit starts from the verbal outline of the problem and ends with a logic circuit diagram or a set of Boolean functions from which

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output.

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. At any given moment, every terminal is in one of the two

More information

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished

Number system: the system used to count discrete units is called number. Decimal system: the number system that contains 10 distinguished Number system: the system used to count discrete units is called number system Decimal system: the number system that contains 10 distinguished symbols that is 0-9 or digits is called decimal system. As

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 COE/EE2DI4 Midterm Test #1 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 Instructions: This examination paper includes 10 pages and 20 multiple-choice questions starting

More information

A Highly Efficient Carry Select Adder

A Highly Efficient Carry Select Adder IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 4 October 2015 ISSN (online): 2349-784X A Highly Efficient Carry Select Adder Shiya Andrews V PG Student Department of Electronics

More information

UNIT-IV Combinational Logic

UNIT-IV Combinational Logic UNIT-IV Combinational Logic Introduction: The signals are usually represented by discrete bands of analog levels in digital electronic circuits or digital electronics instead of continuous ranges represented

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

DESIGN OF BINARY MULTIPLIER USING ADDERS

DESIGN OF BINARY MULTIPLIER USING ADDERS DESIGN OF BINARY MULTIPLIER USING ADDERS Sudhir Bussa 1, Ajaykumar Rao 2, Aayush Rastogi 3 1 Assist. Prof Electronics and Telecommunication Department, Bharatividyapeeth Deemed University College of Engineering,

More information

The book has excellent descrip/ons of this topic. Please read the book before watching this lecture. The reading assignment is on the website.

The book has excellent descrip/ons of this topic. Please read the book before watching this lecture. The reading assignment is on the website. 5//22 Digital Logic Design Introduc/on to Computer Architecture David Black- Schaffer Contents 2 Combina3onal logic Gates Logic Truth tables Truth tables Gates (Karnaugh maps) Common components: Mul/plexors,

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows:

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows: Combinational Logic Logic circuits for digital systems may be combinational or sequential. combinational circuit consists of input variables, logic gates, and output variables. 1 nalysis procedure To obtain

More information

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER CONTENTS 3.1 Introduction to Basic Gates 3.2 Analysing A Combinational Logic Circuit 3.3 Design A Combinational Logic Circuit From Boolean Expression

More information

Digital Electronics. Functions of Combinational Logic

Digital Electronics. Functions of Combinational Logic Digital Electronics Functions of Combinational Logic Half-dder Basic rules of binary addition are performed by a half adder, which has two binary inputs ( and B) and two binary outputs (Carry out and Sum).

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 6 DEPARTMENT: ECE QUESTION BANK SUBJECT NAME: DIGITAL SYSTEM DESIGN SEMESTER III SUBJECT CODE: EC UNIT : Design of Combinational Circuits PART -A ( Marks).

More information

Comparison among Different Adders

Comparison among Different Adders IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 01-06 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison among Different Adders

More information

Experiment # 3 Combinational Circuits (I) Binary Addition and Subtraction

Experiment # 3 Combinational Circuits (I) Binary Addition and Subtraction Experiment # 3 Combinational Circuits (I) Binary Addition and Subtraction Objectives: 1. To study adder and subtractor circuits using logic gates. 2. To construct and test various adders and subtractor

More information

COMPUTER ARCHITECTURE AND ORGANIZATION

COMPUTER ARCHITECTURE AND ORGANIZATION DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING COMPUTER ARCHITECTURE AND ORGANIZATION (CSE18R174) LAB MANUAL Name of the Student:..... Register No Class Year/Sem/Class :. :. :... 1 This page is left intentionally

More information

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa Experiment # 4 Binary Addition & Subtraction Eng. Waleed Y. Mousa 1. Objectives: 1. To study adder and subtractor circuits using logic gates. 2. To construct and test various adders and subtractor circuits.

More information

E2.11/ISE2.22 Digital Electronics II

E2.11/ISE2.22 Digital Electronics II E2.11/ISE2.22 Digital Electronics II roblem Sheet 6 (uestion ratings: A=Easy,, E=Hard. All students should do questions rated A, B or C as a minimum) 1B+ A full-adder is a symmetric function of its inputs

More information

CSE 370 Winter Homework 5 Solutions

CSE 370 Winter Homework 5 Solutions CSE 370 Winter 2008 Homework 5 Solutions 1) Carry Look-Ahead Adder (CLA) a) add1 b) add4 c) cla4 d) cla16 e) Gate Count: 118 gates add1 : 3 gates add4 : 4*Add1 = 12 gates cla4 : 14 gates cla16: (4*Add4)

More information

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA

DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA DESIGN AND IMPLEMENTATION OF 64- BIT CARRY SELECT ADDER IN FPGA Shaik Magbul Basha 1 L. Srinivas Reddy 2 magbul1000@gmail.com 1 lsr.ngi@gmail.com 2 1 UG Scholar, Dept of ECE, Nalanda Group of Institutions,

More information

32-bit High Speed Adder

32-bit High Speed Adder 32-bit High Speed Adder Ms. Potabathni Shilpa Vijaykumar Electronics Department Pillai HOC College of Engineering and Technology Mumbai, India p.shilpavijay@gmail.com Mr. R. H. Khade Electronics Department

More information

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA

CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 90 CHAPTER 5 DESIGN OF COMBINATIONAL LOGIC CIRCUITS IN QCA 5.1 INTRODUCTION A combinational circuit consists of logic gates whose outputs at any time are determined directly from the present combination

More information

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 8, 2015, PP 37-49 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org FPGA Implementation

More information

Combinational Circuits DC-IV (Part I) Notes

Combinational Circuits DC-IV (Part I) Notes Combinational Circuits DC-IV (Part I) Notes Digital Circuits have been classified as: (a) Combinational Circuits: In these circuits output at any instant of time depends on inputs present at that instant

More information

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/93237, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Minimization of Area and Power in Digital System

More information

TABLE 3-2 Truth Table for Code Converter Example

TABLE 3-2 Truth Table for Code Converter Example 997 by Prentice-Hall, Inc. Mano & Kime Upper Saddle River, New Jersey 7458 T-28 TABLE 3-2 Truth Table for Code Converter Example Decimal Digit Input BCD Output Excess-3 A B C D W Y Z 2 3 4 5 6 7 8 9 Truth

More information

Design and Comparative Analysis of Conventional Adders and Parallel Prefix Adders K. Madhavi 1, Kuppam N Chandrasekar 2

Design and Comparative Analysis of Conventional Adders and Parallel Prefix Adders K. Madhavi 1, Kuppam N Chandrasekar 2 Design and Comparative Analysis of Conventional Adders and Parallel Prefix Adders K. Madhavi 1, Kuppam N Chandrasekar 2 1 M.Tech scholar, GVIC, Madhanapally, A.P, India 2 Assistant Professor, Dept. of

More information

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique G. Sai Krishna Master of Technology VLSI Design, Abstract: In electronics, an adder or summer is digital circuits that

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

A Novel Approach For Designing A Low Power Parallel Prefix Adders

A Novel Approach For Designing A Low Power Parallel Prefix Adders A Novel Approach For Designing A Low Power Parallel Prefix Adders R.Chaitanyakumar M Tech student, Pragati Engineering College, Surampalem (A.P, IND). P.Sunitha Assistant Professor, Dept.of ECE Pragati

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

Modelling Of Adders Using CMOS GDI For Vedic Multipliers

Modelling Of Adders Using CMOS GDI For Vedic Multipliers Modelling Of Adders Using CMOS GDI For Vedic Multipliers 1 C.Anuradha, 2 B.Govardhana, 3 Madanna, 1 PG Scholar, Dept Of VLSI System Design, Geetanjali College Of Engineering And Technology, 2 Assistant

More information

Area Delay Efficient Novel Adder By QCA Technology

Area Delay Efficient Novel Adder By QCA Technology Area Delay Efficient Novel Adder By QCA Technology 1 Mohammad Mahad, 2 Manisha Waje 1 Research Student, Department of ETC, G.H.Raisoni College of Engineering, Pune, India 2 Assistant Professor, Department

More information

Topic Notes: Digital Logic

Topic Notes: Digital Logic Computer Science 220 Assembly Language & Comp. Architecture Siena College Fall 20 Topic Notes: Digital Logic Our goal for the next couple of weeks is to gain a reasonably complete understanding of how

More information

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11.

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11. Digital Integrated Circuit Design II ECE 426/526, $Date: 2016/04/21 01:22:37 $ Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu)

More information

Design and Implementation of Low Power Error Tolerant Adder

Design and Implementation of Low Power Error Tolerant Adder International Journal of Electronic and Electrical Engineering. ISSN 0974-2174, Volume 7, Number 5 (2014), pp. 529-534 International Research Publication House http://www.irphouse.com Design and Implementation

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

Odd-Prime Number Detector The table of minterms is represented. Table 13.1

Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Minterm A B C D E 1 0 0 0 0 1 3 0 0 0 1 1 5 0 0 1 0 1 7 0 0 1 1 1 11 0 1 0 1 1 13 0 1 1 0 1 17 1 0 0 0 1 19 1 0 0 1 1 23 1 0 1

More information

PUBLICATIONS OF PROBLEMS & APPLICATION IN ENGINEERING RESEARCH - PAPER CSEA2012 ISSN: ; e-issn:

PUBLICATIONS OF PROBLEMS & APPLICATION IN ENGINEERING RESEARCH - PAPER   CSEA2012 ISSN: ; e-issn: New BEC Design For Efficient Multiplier NAGESWARARAO CHINTAPANTI, KISHORE.A, SAROJA.BODA, MUNISHANKAR Dept. of Electronics & Communication Engineering, Siddartha Institute of Science And Technology Puttur

More information

Adder (electronics) - Wikipedia, the free encyclopedia

Adder (electronics) - Wikipedia, the free encyclopedia Page 1 of 7 Adder (electronics) From Wikipedia, the free encyclopedia (Redirected from Full adder) In electronics, an adder or summer is a digital circuit that performs addition of numbers. In many computers

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

I. INTRODUCTION VANAPARLA ASHOK 1, CH.LAVANYA 2. KEYWORDS Low Area, Carry, Adder, Half-sum, Half-carry.

I. INTRODUCTION VANAPARLA ASHOK 1, CH.LAVANYA 2. KEYWORDS Low Area, Carry, Adder, Half-sum, Half-carry. International Journal of Advances in Applied Science and Engineering (IJAEAS) ISSN (P): 2348-1811; ISSN (E): 2348-182X Vol. 3, Issue 1, Jan 2016, 09-13 IIST CARRY SELECT ADDER WITH HALF-SUM AND HALF-CARRY

More information

International Journal of Engineering Research-Online A Peer Reviewed International Journal Articles available online

International Journal of Engineering Research-Online A Peer Reviewed International Journal Articles available online RESEARCH ARTICLE ISSN: 2321-7758 ANALYSIS & SIMULATION OF DIFFERENT 32 BIT ADDERS SHAHZAD KHAN, Prof. M. ZAHID ALAM, Dr. RITA JAIN Department of Electronics and Communication Engineering, LNCT, Bhopal,

More information

Logic Circuit Design

Logic Circuit Design Logic Circuit Design we have studied Truth Tables Logic gates Logic algebra K-maps 1 All these are tools Tools Truth Tables Logic gates Logic algebra K-maps 2 All these are tools Tools Truth Tables Logic

More information

Department of Electronics and Communication Engineering

Department of Electronics and Communication Engineering Department of Electronics and Communication Engineering Sub Code/Name: BEC3L2- DIGITAL ELECTRONICS LAB Name Reg No Branch Year & Semester : : : : LIST OF EXPERIMENTS Sl No Experiments Page No Study of

More information

PERFORMANCE ANALYSIS OF DIFFERENT ADDERS USING FPGA

PERFORMANCE ANALYSIS OF DIFFERENT ADDERS USING FPGA PERFORMANCE ANALYSIS OF DIFFERENT ADDERS USING FPGA 1 J. M.RUDAGI, 2 KAVITHA, 3 KEERTI SAVAKAR, 4 CHIRANJEEVI MALLI, 5 BHARATH HAWALDAR 1 Associate Professor, 2,3,4,5 Electronics and Communication Engineering

More information

Area Efficient Carry Select Adder with Half-Sum and Half-Carry Method

Area Efficient Carry Select Adder with Half-Sum and Half-Carry Method Area Efficient Carry Select Adder with Half-Sum and Half-Carry Method Mamidi Gopi M.Tech in VLSI System Design, Department of ECE, Sri Vahini Institute of Science & Technology, Tiruvuru. P.James Vijay

More information

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog 1 P.Sanjeeva Krishna Reddy, PG Scholar in VLSI Design, 2 A.M.Guna Sekhar Assoc.Professor 1 appireddigarichaitanya@gmail.com,

More information

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI

SRV ENGINEERING COLLEGE SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI SEMBODAI RUKMANI VARATHARAJAN ENGINEERING COLLEGE SEMBODAI 6489 (Approved By AICTE,Newdelhi Affiliated To ANNA UNIVERSITY::Chennai) CS 62 DIGITAL ELECTRONICS LAB (REGULATION-23) LAB MANUAL DEPARTMENT OF

More information

Lab 2: Combinational Circuits Design

Lab 2: Combinational Circuits Design Lab : Combinational Circuits Design PURPOSE: The purpose of this laboratory assignment is to investigate the design of combinational circuits using SSI circuits and basic logic gates such as ANDs, ORs,

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 6: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Copyright 6 by Pearson Education, Inc. Upper Saddle

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

Group 10 Group 9 Group 8 Group 7 Group 6 Group 5 Group 4 Group 3 Group 2 Group 1 Group 0 GG5 PG5 GG4 PG4. Block 3 Block 2 Block 1 Block 0

Group 10 Group 9 Group 8 Group 7 Group 6 Group 5 Group 4 Group 3 Group 2 Group 1 Group 0 GG5 PG5 GG4 PG4. Block 3 Block 2 Block 1 Block 0 CLA and Ling Adders Introduction One of the most popular designs for fast integer adders are Carry-Look-Ahead adders. Rather than waiting for carry signals to ripple from the least signicant bit to the

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1129-1133 www.ijvdcs.org Design and Implementation of 32-Bit Unsigned Multiplier using CLAA and CSLA DEGALA PAVAN KUMAR 1, KANDULA RAVI KUMAR 2, B.V.MAHALAKSHMI

More information

DESIGN OF HIGH SPEED 32 BIT UNSIGNED MULTIPLIER USING CLAA AND CSLA

DESIGN OF HIGH SPEED 32 BIT UNSIGNED MULTIPLIER USING CLAA AND CSLA DESIGN OF HIGH SPEED 32 BIT UNSIGNED MULTIPLIER USING CLAA AND CSLA G. Lakshmanarao 1, P. Dalinaidu 2 1 PG Scholar Dept. Of ECE, SVCET, Srikakulam, AP, (India) 2 Asst.Professor Dept. Of ECE, SVCET, Srikakulam,

More information

COMBINATIONAL CIRCUIT

COMBINATIONAL CIRCUIT Combinational circuit is a circuit in which we combine the different gates in the circuit, for example encoder, decoder, multiplexer and demultiplexer. Some of the characteristics of combinational circuits

More information

Datapath Components. Control vs. Datapath, Registers, Adders (Binary Addition) Copyright (c) 2012 Sean Key

Datapath Components. Control vs. Datapath, Registers, Adders (Binary Addition) Copyright (c) 2012 Sean Key atapath Components Control vs. atapath, Registers, Adders (Binary Addition) Copyright (c) 2012 ean Key ata vs. Control Most digital circuits can be divided into two parts Control Circuitry to control the

More information

Lab Report: Digital Logic

Lab Report: Digital Logic Lab Report: Digital Logic Introduction The aim of the Digital Logic Lab was to construct a simple 4-bit Arithmetic Logic Unit (ALU) in order to demonstrate methods of using Boolean Algebra to manipulate

More information

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic RESERCH RTICLE OPEN CCESS n Efficient Higher Order nd High Speed Kogge-Stone Based Using Common Boolean Logic Kuppampati Prasad, Mrs.M.Bharathi M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College

More information

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter

Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Design and Implementation of Carry Select Adder Using Binary to Excess-One Converter Paluri Nagaraja 1 Kanumuri Koteswara Rao 2 Nagaraja.paluri@gmail.com 1 koti_r@yahoo.com 2 1 PG Scholar, Dept of ECE,

More information

Design of 32-bit Carry Select Adder with Reduced Area

Design of 32-bit Carry Select Adder with Reduced Area Design of 32-bit Carry Select Adder with Reduced Area Yamini Devi Ykuntam M.V.Nageswara Rao G.R.Locharla ABSTRACT Addition is the heart of arithmetic unit and the arithmetic unit is often the work horse

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

Multiplier and Accumulator Using Csla

Multiplier and Accumulator Using Csla IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 1, Ver. 1 (Jan - Feb. 2015), PP 36-44 www.iosrjournals.org Multiplier and Accumulator

More information

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER 128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER A. Santhosh Kumar 1, S.Mohana Sowmiya 2 S.Mirunalinii 3, U. Nandha Kumar 4 1 Assistant Professor, Department of ECE, SNS College of Technology, Coimbatore

More information

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 10, Issue 1, January February 2019, pp. 88 94, Article ID: IJARET_10_01_009 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=10&itype=1

More information

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER MURALIDHARAN.R [1],AVINASH.P.S.K [2],MURALI KRISHNA.K [3],POOJITH.K.C [4], ELECTRONICS

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C

DELD UNIT 3. Question Option A Option B Option C Option D Correct Option A B C Class : S.E.Comp Matoshri College of Engineering and Research Center Nasik Department of Computer Engineering Digital Elecronics and Logic Design (DELD) UNIT - III Subject : DELD Sr. No. Question Option

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 5b Fast Addition - II Israel Koren ECE666/Koren Part.5b.1 Carry-Look-Ahead Addition Revisited

More information

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations.

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations. Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 5 Lecture Title:

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA

Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA Implementation of 32-Bit Unsigned Multiplier Using CLAA and CSLA 1. Vijaya kumar vadladi,m. Tech. Student (VLSID), Holy Mary Institute of Technology and Science, Keesara, R.R. Dt. 2.David Solomon Raju.Y,Associate

More information

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm Vijay Dhar Maurya 1, Imran Ullah Khan 2 1 M.Tech Scholar, 2 Associate Professor (J), Department of

More information

II. LITERATURE REVIEW

II. LITERATURE REVIEW ISSN: 239-5967 ISO 9:28 Certified Volume 4, Issue 3, May 25 A Survey of Design and Implementation of High Speed Carry Select Adder SWATI THAKUR, SWATI KAPOOR Abstract This paper represent the reviewing

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture

A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture A VLSI Implementation of Fast Addition Using an Efficient CSLAs Architecture N.SALMASULTHANA 1, R.PURUSHOTHAM NAIK 2 1Asst.Prof, Electronics & Communication Engineering, Princeton College of engineering

More information

National Conference on Emerging Trends in Information, Digital & Embedded Systems(NC e-tides-2016)

National Conference on Emerging Trends in Information, Digital & Embedded Systems(NC e-tides-2016) Carry Select Adder Using Common Boolean Logic J. Bhavyasree 1, K. Pravallika 2, O.Homakesav 3, S.Saleem 4 UG Student, ECE, AITS, Kadapa, India 1, UG Student, ECE, AITS, Kadapa, India 2 Assistant Professor,

More information

Analysis of Low Power, Area- Efficient and High Speed Multiplier using Fast Adder

Analysis of Low Power, Area- Efficient and High Speed Multiplier using Fast Adder Analysis of Low Power, Area- Efficient and High Speed Multiplier using Fast Adder Krishna Naik Dungavath 1, Dr V.Vijayalakshmi 2 1 Ph.D. Scholar, Dept. of ECE, Pondecherry Engineering College, Puducherry

More information

Subtractor Logic Schematic

Subtractor Logic Schematic Function Of Xor Gate In Parallel Adder Subtractor Logic Schematic metic functions, including half adder, half subtractor, full adder, independent logic gates to form desired circuits based on dif- by integrating

More information

2 Building Blocks. There is often the need to compare two binary values.

2 Building Blocks. There is often the need to compare two binary values. 2 Building Blocks 2.1 Comparators There is often the need to compare two binary values. This is done using a comparator. A comparator determines whether binary values A and B are: 1. A = B 2. A < B 3.

More information

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO Technical Paper A Sub-Nanosecond 0.5µm 64b Adder Design Hewlett-Packard Co., Fort Collins, CO A sub-nanosecond 64b adder in 0.5µm CMOS forms the basis for the integer and floating point execution units.

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 5b Fast Addition - II Spring 2017 Koren Part.5b.1 Carry-Look-Ahead Addition Revisited Generalizing equations for fast

More information

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS JDT-002-2013 EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS E. Prakash 1, R. Raju 2, Dr.R. Varatharajan 3 1 PG Student, Department of Electronics and Communication Engineeering

More information

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic

FPGA Implementation of Area Efficient and Delay Optimized 32-Bit SQRT CSLA with First Addition Logic FPGA Implementation of Area Efficient and Delay Optimized 32-Bit with First Addition Logic eet D. Gandhe Research Scholar Department of EE JDCOEM Nagpur-441501,India Venkatesh Giripunje Department of ECE

More information

Improved Performance and Simplistic Design of CSLA with Optimised Blocks

Improved Performance and Simplistic Design of CSLA with Optimised Blocks Improved Performance and Simplistic Design of CSLA with Optimised Blocks E S BHARGAVI N KIRANKUMAR 2 H CHANDRA SEKHAR 3 L RAMAMURTHY 4 Abstract There have been many advances in updating the adders, initially,

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 6 组合逻辑电路函数 Floyd, Digital Fundamentals, th ed 29 Pearson Education, Upper 28 Pearson Saddle River, Education NJ 7458. All Rights Reserved Summary Half-Adder

More information