Highly Reliable Memory-based Physical Unclonable Function Using Spin-Transfer Torque MRAM

Size: px
Start display at page:

Download "Highly Reliable Memory-based Physical Unclonable Function Using Spin-Transfer Torque MRAM"

Transcription

1 Highly Reliable Memory-based Physical Unclonable Function Using Spin-Transfer Torque MRAM Le Zhang 1, Xuanyao Fong 2, Chip-Hong Chang 1, Zhi Hui Kong 1, Kaushik Roy 2 1 School of EEE, Nanyang Technological University, Singapore 2 School of ECE, Purdue University, USA 1 nd October, 2014

2 Physical Unclonable Function (PUF) Given a stimulus (challenge), produce a response Memory-based PUF Regular structure Available in comp- uter memory system Problems Memory or memory-like array Noise Effects Response Reliability is low (lower in extreme conditions) Overhead of conventional methods, i.e., ECC BL WL Selected cell Challenge=F(memory address) Helper data Fuzzy Extractor Output Key Information reconciliation Privacy amplification

3 Spin-Transfer Torque MRAM based PUF Magnetic Tunneling Junction (MTJ) Free Layer MgO Pinned Layer (a) Parallel Anti-parallel Characteristics Low R High R Normalized MTJ Resistance I C:AP P I C:P AP Applied voltage (V) (b) FL and PL in parallel (P), R MTJ is low FL and PL in anti-parallel (P), R MTJ is high Switching current changes the state of MTJ WL BL SL MTJ (c)

4 Spin-Transfer Torque MRAM based PUF Process variations Cross-section area Oxide layer thickness Process variations of access transistors ( a0tmgo b0 c m 1 2m ( 1) MTJ amtmgo bm d ) m 1 RA e V e Free Layer Threshold MgO voltage, channel Pinned Layer length, etc. Free Layer Cross-section area Measuring the mismatches of two accessed cell resistances generate random bits MgO Oxide-layer thickness Pinned Layer

5 Spin-Transfer Torque MRAM based PUF VDD M13 2. Measure the resistance mismatch by sensing the current difference RCLK WL M11 BIT M7 M9 IreadA M5 RSE M12 BITB M10 M8 M6 IreadB Addr Row Decoder Sense Amplifier Column Decoder PUF cell 1. Initially set MTJ A and MTJ B to the same state Iwrite M3 MTJA RDEN MTJB M4 INIT Internal STT-MRAM Write Driver R (b) H Fuzzy Extractor K BLL SLL M1 (a) M2 BLR SLR (c)

6 Automatic Write-Back (AWB) To improve the reliability, AWB scheme is incorporated Mechanism Enrollment phase: MTJs in a selected cell are initialized to the same state; response bit is generated by sensing the mismatch between them; upon the read completion, response bit is written back to one of the two MTJs Regeneration phase: Response bit is directly regenerated from the cell whose two MTJs have been written to complementary states

7 STT-MRAM based PUF with AWB Circuit block diagram of STT-MRAM PUF incorporated with AWB Data_init Data_wb INIT Sense Amplifier Write Driver PUF architecture 1 0 STT-MRAM Array 0 1 Write-back RDEN SLL RDEN BLL RDEN RDEN SLR RDEN BLR RDEN E: Enrollment R: Regeneration INIT=0 E R MTJ A =P MTJ A =AP or MTJ B =P MTJ B =AP INIT=1 MTJ A =P or MTJ A =P MTJ B =AP MTJ B =AP (State diagram of STT-MRAM with AWB mechanism)

8 Analysis of STT-MRAM based PUF Experiment Set-up HSPICE environment Temperature (T) Supply voltage (V DD ) Process param. (tmgo, pov, Area) pinned MTJ Res model: RMTJ=f(θ,V,T) PMA LLG component MATLAB Data processing for evaluating: Randomness Reliability Material param. (saturation magnetization, damping factor etc.) free MTJ compact model STT-PUF netlist Parameters μ σ/μ Contact area nm 2 5% Oxide layer thickness 1.15 nm 2% MOSFET channel length 45 nm 10% MOSFET threshold voltage V 10% Supply voltage 1 V - Read cycle 10 ns - Evaluations: Randomness and uniqueness Reliability Security analysis Write cycle 40 ns -

9 Uniqueness and Randomness Uniqueness how change of bits in challenge affects the change in response Fractional Hamming distance (H) H Ideally H should be close to 50% m 1 m 2l HD( Ri, Rj) Vbias 0.9 V, 1 V, 1.1 V; l=64, m=1000 m ( m 1) i 1 j i 1

10 Uniqueness and Randomness Randomness how random the generated response bits are Entropy (E) E log[pr( R)]Pr( R) l R {0,1}

11 Reliability Reliability reproducibility of responses to the same challenge Three cases should be considered Case #1: r t and r t+δt are both generated in the enrollment phase; Case #2: r t is generated in the enrollment phase while r t+δt is generated in the regeneration phase; Case #3: r t and r t+δt are both generated in the regeneration phase.

12 Reliability Reliability in Case #1 is mainly affected by thermal noise effects. Reliabilities in Case #2 and #3 may be affected by thermal fluctuations in write-back operations. Distribution of initial angle to the easy axis 2H M k T k s k s 2 Pr( ) exp sin ( ) B H M k T B Bit-Error Rate (BER), i.e., 1-reliability

13 Reliability BER=(BER P +BER AP )/2 Response Surface Modeling Worst-case BER , 10 5 reduction!

14 Efficiency and Security Analysis Comparison to other NVMs, AWB is more applicable to STT-MRAM STT-MRAM has nearly unlimited endurance No disturbance on adjacent cells Resilience against physical attacks small footprint, i.e., 45 nm or even more scaled feature size Resilience against possible side-channel attacks, e.g., electrical-magnetic emanation

15 Conclusion We designed an STT-MRAM based PUF We proposed using an Automatic Write- Back scheme to enhance the PUF reliability The STT-MRAM PUF has desirable qualities: ~50% fractional Hamming distances, entropy of bit per cell, BER in the worst-case More efficient and secure compared to other possible NVM-based PUF implementations

16 Thanks for listening We appreciate your valuable comments

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song

A Spin-Torque Transfer MRAM in 90nm CMOS. Hui William Song A Spin-Torque Transfer MRAM in 90nm CMOS by Hui William Song A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and Computer

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

A STATISTICAL STT-RAM DESIGN VIEW AND ROBUST DESIGNS AT SCALED TECHNOLOGIES

A STATISTICAL STT-RAM DESIGN VIEW AND ROBUST DESIGNS AT SCALED TECHNOLOGIES A STATISTICAL STT-RAM DESIGN VIEW AND ROBUST DESIGNS AT SCALED TECHNOLOGIES by Yaojun Zhang B.S. Microelectronics, Shanghai Jiaotong University, 2008 M.S. Electrical Engineering, University of Pittsburgh,

More information

VARIATION MONITOR-ASSISTED ADAPTIVE MRAM WRITE

VARIATION MONITOR-ASSISTED ADAPTIVE MRAM WRITE Shaodi Wang, Hochul Lee, Pedram Khalili, Cecile Grezes, Kang L. Wang and Puneet Gupta University of California, Los Angeles VARIATION MONITOR-ASSISTED ADAPTIVE MRAM WRITE NanoCAD Lab shaodiwang@g.ucla.edu

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function

A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function A Data Remanence based Approach to Generate 100% Stable Keys from an SRAM Physical Unclonable Function Muqing Liu, Chen Zhou, Qianying Tang, Keshab K. Parhi and Chris H. Kim University of Minnesota, Twin

More information

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control

Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control Shoun Matsunaga 1,2, Akira Katsumata 2, Masanori Natsui 1,2, Shunsuke Fukami 1,3, Tetsuo Endoh 1,2,4,

More information

MTJ Variation Monitor-assisted Adaptive MRAM Write

MTJ Variation Monitor-assisted Adaptive MRAM Write MTJ Variation Monitor-assisted Adaptive MRAM Write Shaodi Wang shaodiwang@g.ucla.edu Pedram Khalili pedramk@ucla.edu Hochul Lee chul0524@ucla.edu Kang L. Wang wang@ee.ucla.edu Cecile Grezes grezes.cecile@gmail.com

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications

Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Basic Principles, Challenges and Opportunities of STT-MRAM for Embedded Memory Applications Luc Thomas TDK- Headway Technologies, 463 S. Milpitas Boulevard, Milpitas CA 95035, USA MRAM Team at TDK - Headway

More information

380 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 3, MARCH 2016

380 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 3, MARCH 2016 380 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 3, MARCH 2016 Radiation-Induced Soft Error Analysis of STT-MRAM: A Device to Circuit Approach Jianlei Yang,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY

A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY A REVIEW ON MAGNETIC TUNNEL JUNCTION TECHNOLOGY Pawan Choudhary 1, Dr. Kanika Sharma 2, Sagar Balecha 3, Bhaskar Mishra 4 1 M.E Scholar, Electronics & Communication Engineering, National Institute of Technical

More information

1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015

1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015 1162 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 34, NO. 7, JULY 2015 Intelligent Voltage Ramp-Up Time Adaptation for Temperature Noise Reduction on Memory-Based

More information

Low Power 256K MRAM Design

Low Power 256K MRAM Design Low Power 256K MRAM Design R. Beech, R. Sinclair, NVE Corp., 11409 Valley View Road, Eden Prairie, MN 55344, beech@nve.com Abstract A low power Magnetoresistive Random Access Memory (MRAM), that uses a

More information

Application Note Model 765 Pulse Generator for Semiconductor Applications

Application Note Model 765 Pulse Generator for Semiconductor Applications Application Note Model 765 Pulse Generator for Semiconductor Applications Non-Volatile Memory Cells Characterization The trend of memory research is to develop a new memory called Non-Volatile RAM that

More information

Quantitative evaluation of reliability and performance for STT-MRAM

Quantitative evaluation of reliability and performance for STT-MRAM Quantitative evaluation of reliability and performance for STT-MRAM Liuyang Zhang, Aida Todri-Sanial, Wang Kang, Youguang Zhang, Lionel Torres, Yuanqing Cheng, Weisheng Zhao To cite this version: Liuyang

More information

An Overview of Non-Volatile Memory Technology and the Implication for Tools and Architectures

An Overview of Non-Volatile Memory Technology and the Implication for Tools and Architectures An Overview of Non-Volatile Memory Technology and the mplication for Tools and Architectures Hai Li and Yiran Chen Alternative Technology Group Seagate Technology LLC Bloomington, MN, USA {helen.li, yiran.chen}@seagate.com

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

A Nondestructive Self-Reference Scheme for Spin- Transfer Torque Random Access Memory (STT-RAM)

A Nondestructive Self-Reference Scheme for Spin- Transfer Torque Random Access Memory (STT-RAM) A Nondestructive Self-eference Scheme for Spin- Transfer Torque andom Access Memory (STT-AM Yiran Chen, Hai (Helen Li*, Xiaobin Wang, Wenzhong Zhu, Wei Xu and Tong Zhang *ECE Department PolyTech nstitute

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Breaking Through Impenetrable Barriers

Breaking Through Impenetrable Barriers Breaking Through Impenetrable Barriers The Key to the Evolution of Solid State Memory A Pictorial Approach Andrew J. Walker PhD August 2018 1 The Link between α-particles, 3-D NAND and MRAM? - Quantum

More information

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction Won Ho Choi*, Yang Lv*, Hoonki Kim, Jian-Ping Wang, and Chris H. Kim *equal contribution

More information

A study of using STT-MRAM as Memory PUF: Design, Modeling and. Quality Evaluation

A study of using STT-MRAM as Memory PUF: Design, Modeling and. Quality Evaluation A study of using STT-MRAM as Memory PUF: Design, Modeling and Quality Evaluation BY PAOLO VINELLA B.S., Politecnico di Torino, Turin, Italy, 2012 THESIS Submitted as partial fulfillment of the requirements

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Improving the Reliability of. NAND Flash, Phase-change RAM and Spin-torque Transfer RAM. Chengen Yang

Improving the Reliability of. NAND Flash, Phase-change RAM and Spin-torque Transfer RAM. Chengen Yang Improving the Reliability of NAND Flash, Phase-change RAM and Spin-torque Transfer RAM by Chengen Yang A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy

More information

S1. Current-induced switching in the magnetic tunnel junction.

S1. Current-induced switching in the magnetic tunnel junction. S1. Current-induced switching in the magnetic tunnel junction. Current-induced switching was observed at room temperature at various external fields. The sample is prepared on the same chip as that used

More information

A Reliable Low-area Low-power PUF-based Key Generator

A Reliable Low-area Low-power PUF-based Key Generator A Reliable Low-area Low-power PUF-based Key Generator Christoph Böhm, Marco Bucci, Maximilian Hofer, Raimondo Luzzi Infineon Technologies AG Babenbergerstrasse, A-82 Graz, AUSTRIA Abstract This paper reports

More information

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction

Variation-tolerant Non-volatile Ternary Content Addressable Memory with Magnetic Tunnel Junction JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.458 ISSN(Online) 2233-4866 Variation-tolerant Non-volatile Ternary

More information

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Zhou et a]. (43) Pub. Date: Aug.

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Zhou et a]. (43) Pub. Date: Aug. US 20130215673A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/0215673 A1 Zhou et a]. (43) Pub. Date: Aug. 22, 2013 (54) MAGNETORESISTIVE LOGIC CELL AND (52) US. Cl. METHOD

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

ROBIN: Incremental Oblique Interleaved ECC for Reliability Improvement in STT-MRAM Caches

ROBIN: Incremental Oblique Interleaved ECC for Reliability Improvement in STT-MRAM Caches : Incremental Oblique ECC for Reliability Improvement in STT-MRAM Caches Elham Cheshmikhani, Hamed Farbeh, and Hossein Asadi Sharif University of Technology Tehran, Iran Abstract Spin Transfer Torque-Magnetic

More information

UNIVERSITY OF NORTH CAROLINA AT CHARLOTTE. Department of Electrical and Computer Engineering

UNIVERSITY OF NORTH CAROLINA AT CHARLOTTE. Department of Electrical and Computer Engineering UNIVERSITY OF NORTH CAROLINA AT CHARLOTTE Department of Electrical and Computer Engineering Experiment No. 9 - MOSFET Amplifier Configurations Overview: The purpose of this experiment is to familiarize

More information

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions

Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions Variation-resilient True Random Number Generators based on Magnetic Tunnel Junctions by Yuanzhuo Qu A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in

More information

Lecture 8: Memory Peripherals

Lecture 8: Memory Peripherals Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its

More information

Towards Warp-Scheduler Friendly STT-RAM/SRAM Hybrid GPGPU Register File Design

Towards Warp-Scheduler Friendly STT-RAM/SRAM Hybrid GPGPU Register File Design Towards Warp-Scheduler Friendly STT-RAM/SRAM Hybrid GPGPU Register File Design Quan Deng, Youtao Zhang, Minxuan Zhang, Jun Yang College of Computer, National University of Defense Technolog, Changsha,

More information

Energy-Performance Characterization of CMOS/Magnetic Tunnel Junction (MTJ) Hybrid Logic Circuits

Energy-Performance Characterization of CMOS/Magnetic Tunnel Junction (MTJ) Hybrid Logic Circuits University of California Los Angeles Energy-Performance Characterization of CMOS/Magnetic Tunnel Junction (MTJ) Hybrid Logic Circuits A thesis submitted in partial satisfaction of the requirements for

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Induction of coherent magnetization switching in a few atomic layers of FeCo using voltage pulses Yoichi Shiota 1, Takayuki Nozaki 1, 2,, Frédéric Bonell 1, Shinichi Murakami 1,2, Teruya Shinjo 1, and

More information

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells

Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Design and Evaluation of two MTJ-Based Content Addressable Non-Volatile Memory Cells Ke Chen, Jie Han and Fabrizio Lombardi Abstract This paper proposes two non-volatile content addressable memory (CAM)

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory

A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory 598 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 48, NO. 2, FEBRUARY 2013 A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory Ki Chul

More information

AFRL-RY-WP-TR

AFRL-RY-WP-TR AFRL-RY-WP-TR-2017-0198 NEW CONTENT ADDRESSABLE MEMORY (CAM) TECHNOLOGIES FOR BIG DATA AND INTELLIGENT ELECTRONICS ENABLED BY MAGNETO-ELECTRIC TERNARY CAM Kang L. Wang University of California, Los Angeles

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Low Power SRAM-PUF with Improved Reliability & Uniformity Utilizing Aging Impact for Security Improvement

Low Power SRAM-PUF with Improved Reliability & Uniformity Utilizing Aging Impact for Security Improvement Low Power SRAM-PUF with Improved Reliability & Uniformity Utilizing Aging Impact for Security Improvement ACHIRANSHU GARG School of Electrical & Electronic Engineering A thesis submitted to Nanyang Technological

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

The Pennsylvania State University The Graduate School College of Engineering MODELING AND DESIGN ANALYSIS OF EMERGING

The Pennsylvania State University The Graduate School College of Engineering MODELING AND DESIGN ANALYSIS OF EMERGING The Pennsylvania State University The Graduate School College of Engineering MODELING AND DESIGN ANALYSIS OF EMERGING NON-VOLATILE MEMORIES FOR FUTURE COMPUTER SYSTEMS A Thesis in Computer Science and

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE

A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits Mohit Kumar Gupta and Mohd Hasan, Senior Member,

More information

III III a IIOI OlD IIO II II IIII uui IIO IIII uuu II uii IIi

III III a IIOI OlD IIO II II IIII uui IIO IIII uuu II uii IIi (19) United States III III a IIOI OlD IIO 1101 100 II II IIII uui IIO IIII uuu II uii IIi US 20060043443A1 12) Patent Application Publication (1 E006/0043443 Al Sugahara et at. (43) Pub. Date: Mar. 2,

More information

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Invited Paper TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Eun Chu Oh and Paul D. Franzon ECE Dept., North Carolina State University, 2410 Campus Shore Drive, Raleigh, NC, USA

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Design of Logic-Compatible Embedded Flash Memories for Moderate Density On-Chip Non-Volatile Memory Applications

Design of Logic-Compatible Embedded Flash Memories for Moderate Density On-Chip Non-Volatile Memory Applications Design of Logic-Compatible Embedded Flash Memories for Moderate Density On-Chip Non-Volatile Memory Applications A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Basic Layout Techniques

Basic Layout Techniques Basic Layout Techniques Rahul Shukla Advisor: Jaime Ramirez-Angulo Spring 2005 Mixed Signal VLSI Lab Klipsch School of Electrical and Computer Engineering New Mexico State University Outline Transistor

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

This document is an author-formatted work. The definitive version for citation appears as:

This document is an author-formatted work. The definitive version for citation appears as: This document is an author-formatted work. The definitive version for citation appears as: R. Zand, A. Roohi and R. F. DeMara, "Energy-Efficient and rocess-variation-resilient Write Circuit Schemes for

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Exploring Spin-transfer-torque devices and memristors for logic and memory applications

Exploring Spin-transfer-torque devices and memristors for logic and memory applications Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations 8-2016 Exploring Spin-transfer-torque devices and memristors for logic and memory applications Zoha Pajouhi Purdue University

More information

Sizing of SRAM Cell with Voltage Biasing Techniques for Reliability Enhancement of Memory and PUF Functions

Sizing of SRAM Cell with Voltage Biasing Techniques for Reliability Enhancement of Memory and PUF Functions Article Sizing of SRAM with Voltage Biasing Techniques for Reliability Enhancement of Memory and PUF Functions Chip-Hong Chang *, Chao Qun Liu, Le Zhang and Zhi Hui Kong School of Electrical and Electronic

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism 18 th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), May 7-9, 2012, Copenhagen! High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism

More information

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs Integrated Circuit Amplifiers Comparison of MOSFETs and BJTs 17 Typical CMOS Device Parameters 0.8 µm 0.25 µm 0.13 µm Parameter NMOS PMOS NMOS PMOS NMOS PMOS t ox (nm) 15 15 6 6 2.7 2.7 C ox (ff/µm 2 )

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

A Robust Low Power Static Random Access Memory Cell Design

A Robust Low Power Static Random Access Memory Cell Design Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2018 A Robust Low Power Static Random Access Memory Cell Design A. V. Rama Raju Pusapati Wright State University

More information

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota

MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota MTJ based Random Number Generation and Analog-to-Digital Conversion Chris H. Kim University of Minnesota Workshop on the Future of Spintronics, June 5, 216 1 Switching Probability of an MTJ Parallel: Low

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit

RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit RTN Induced Frequency Shift Measurements Using a Ring Oscillator Based Circuit Qianying Tang 1, Xiaofei Wang 1, John Keane 2, and Chris H. Kim 1 1 University of Minnesota, Minneapolis, MN 2 Intel Corporation,

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

Spin-Torque Sensors for Energy Efficient High Speed Long Interconnects

Spin-Torque Sensors for Energy Efficient High Speed Long Interconnects Spin-Torque Sensors for Energy Efficient High Speed Long Interconnects Zubair Al Azim, Abhronil Sengupta, Syed Shakib Sarwar, and Kaushik Roy Abstract In this paper, we propose a Spin-Torque (ST) based

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell

Lecture 3 Switched-Capacitor Circuits Trevor Caldwell Advanced Analog Circuits Lecture 3 Switched-Capacitor Circuits Trevor Caldwell trevor.caldwell@analog.com Lecture Plan Date Lecture (Wednesday 2-4pm) Reference Homework 2017-01-11 1 MOD1 & MOD2 ST 2, 3,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

MAGNETIC ADDER AND 16-BIT MRAM USING MAGNETIC TUNNEL JUNCTION TRANSISTOR

MAGNETIC ADDER AND 16-BIT MRAM USING MAGNETIC TUNNEL JUNCTION TRANSISTOR D D MAGNETIC ADDER AND 16-BIT MRAM USING MAGNETIC TUNNEL JUNCTION TRANSISTOR A thesis submitted in partial fulfilment of the requirements for the degree of Master of Science in Electrical Engineering By

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Core Circuit Technologies for PN-Diode-Cell PRAM

Core Circuit Technologies for PN-Diode-Cell PRAM 128 HEE-BOK KANG et al : CORE CIRCUIT TECHNOLOGIES FOR PN-DIODE-CELL PRAM Core Circuit Technologies for PN-Diode-Cell PRAM Hee-Bok Kang*, Suk-Kyoung Hong*, Sung-Joo Hong*, Man Young Sung**, Bok-Gil Choi***,

More information

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design

A Study of The Advancement of CMOS ALU & Full Adder Circuit Design For Modern Design A Study of The Advancement of & Full Adder Circuit Design F Modern Design Bruce Hardy BR759875 Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract

More information

Brushed DC Motor PWM Speed Control with the NI myrio, Optical Encoder, and H-Bridge

Brushed DC Motor PWM Speed Control with the NI myrio, Optical Encoder, and H-Bridge Brushed DC Motor PWM Speed Control with the NI myrio, Optical Encoder, and H-Bridge Motor Controller Brushed DC Motor / Encoder System K. Craig 1 Gnd 5 V OR Gate H-Bridge 12 V Bypass Capacitors Flyback

More information