Reflective Electron Beam Lithography: Lithography Results Using CMOS Controlled Digital Pattern Generator Chip

Size: px
Start display at page:

Download "Reflective Electron Beam Lithography: Lithography Results Using CMOS Controlled Digital Pattern Generator Chip"

Transcription

1 Reflective Electron Beam Lithography: Lithography Results Using CMOS Controlled Digital Pattern Generator Chip Thomas Gubiotti 1, Jeff Sun 1, Regina Freed 1, Françoise Kidwingira 1, Jason Yang 1, Chris Bevis 1, Allen Carroll 1, Alan Brodie 1, William M. Tong 1 ; Shy-Jay Lin 2, Wen-Chuan Wang 2 ; Luc Haspeslagh 3, Bart Vereecke 3 1 KLA-Tencor, Milpitas, California (USA) 2 Taiwan Semiconductor Manufacturing Co. Ltd., Hsinchu City (Taiwan) 3 IMEC, Leuven (Belgium) ABSTRACT Maskless electron beam lithography can potentially extend semiconductor manufacturing to the 10 nm logic (16 nm half pitch) technology node and beyond. KLA-Tencor is developing Reflective Electron Beam Lithography (REBL) technology targeting high-volume 10 nm logic node performance. REBL uses a novel multi-column wafer writing system combined with an advanced stage architecture to enable the throughput and resolution required for a NGL system. Using a CMOS Digital Pattern Generator (DPG) chip with over one million microlenses, the system is capable of maskless printing of arbitrary patterns with pixel redundancy and pixel-by-pixel grayscaling at the wafer. Electrons are generated in a flood beam via a thermionic cathode at kev and decelerated to illuminate the DPG chip. The DPG-modulated electron beam is then reaccelerated and demagnified x onto the wafer to be printed. Previously, KLA-Tencor reported on the development progress of the REBL tool for maskless lithography at and below the 10 nm logic technology node. Since that time, the REBL team has made good progress towards developing the REBL system and DPG for direct write lithography. REBL has been successful in manufacturing a CMOS controlled DPG chip with a stable charge drain coating and with all segments functioning. This DPG chip consists of an array of over one million electrostatic lenslets that can be switched on or off via CMOS voltages to pattern the flood electron beam. Testing has proven the validity of the design with regards to lenslet performance, contrast, lifetime, and pattern scrolling. This chip has been used in the REBL demonstration platform system for lithography on a moving stage in both PMMA and chemically amplified resist. Direct imaging of the aerial image has also been performed by magnifying the pattern at the wafer plane via a mag stack onto a YAG imaging screen. This paper will discuss the chip design improvements and new charge drain coating that have resulted in a functional DPG chip and will evaluate the current chip performance on the REBL system. Print results for line/space and device test patterns at the 100nm node will be presented. Keywords: electron beam, direct write, lithography, roadmap, REBL, digital pattern generator 1. INTRODUCTION The Reflective Electron Beam Lithography (REBL) tool currently under development at KLA-Tencor for DARPA (MTO) is designed for custom ASIC processing. Corporate development efforts over the past several years have also focused on developing a tool for high volume manufacturing (HVM). The system currently under development is targeting critical patterning steps at the sub 16 nm half pitch node at a cost of ownership equivalent to 193nm immersion optical lithography. As noted in earlier work 1, the patented, reflective electron optic or Digital Pattern Generator (DPG) enables the REBL system to produce a massively parallel lithographic exposure using well over a million electron beams. The DPG is a CMOS ASIC chip (from TSMC) with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~1Tbps). The lens elements on the DPG are fabricated at IMEC (Leuven, Belgium) under IMEC s CMORE program. To drive throughput and reduce overall system risk, the REBL team has plans to implement multiple DPGs (multiple-columns) and replace a Alternative Lithographic Technologies V, edited by William M. Tong, Douglas J. Resnick, Proc. of SPIE Vol. 8680, 86800H 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol H-1

2 previously planned rotary stage concept with a dual action, linear stage technology. This new multi-column and linear stage architecture has the potential to produce wafer throughputs similar to current 193 nm immersion lithography systems. The new linear stage design also has the added benefit of reduced system vibration and cancellation of stage acceleration forces. Electron Beam Lithography (EBL) had not been under serious consideration for HVM (except for mask making 2 ) because of insufficient throughput. Electron Beam Direct Write (EBDW) has found a niche in applications which require both high resolution, quick-turn-around, 4,5 and high customization (i.e., maskless), such as fabrication of lowvolume prototypes for cutting edge R&D. In the 1990s, SCALPEL 7 and PREVAIL 8,9 were two competing electron projection lithography programs that demonstrated very good progress, but their failure is partly attributable to their adoption of a mask. This brought on technological challenges, some of which were similar to those for optical lithography while others were unique to e-beam projection. Advances in MEMS technology in the past decade enabled the pixilation of an electron beam into thousands to millions of beamlets. An electron-optical mask could be replaced by an electron-optical MEMS. Thus the high flexibility and low cost of maskless e-beam lithography can be maintained without sacrificing throughput and resolution. Currently, there are three major maskless EBDW programs under development: MAPPER 10, IMS 11, and REBL 12,13. This report summarizes work for extending the REBL technology for HVM 10 nm technology node (logic, 16 nm HP). The near-term goal for the REBL tool is to direct write 5-7 wafer layers per hour for the 45 nm node. Figure 1 shows a schematic layout of the REBL electron beam optics integrated to a linear stage concept that will be part of a HVM system. Digital Pattern Generator Illumination Optics Projection Optics EXB Filter Electron Gun i I e Demag Optics l'iar I.-Multiple Wafer Linear Stage Figure 1: REBL linear stage concept; multiple wafer stage, DPG, and beam optics 2. PLATFORM REVIEW Over the past year, KLA-Tencor has investigated several different stage and e-beam column configurations that have the potential for HVM for the 10 nm technology node (logic, 16 nm HP). Since a single REBL column will not provide the throughput needed for HVM the REBL team continues design work for a multi-column prototype system (Figure 2). As the number of columns increases many other system requirements are significantly reduced. A larger number of columns allows for a lower writing speed, reducing the requirements on current through a single column, stage speed, etc. The key then, is to make the electron optics small, simple, reliable, and inexpensive enough to replicate many times per Proc. of SPIE Vol H-2

3 cluster. Increasing the number of columns allows REBL to concentrate complexity into subsystems which scale well, such as the DPG, rendering algorithms and stage positioning and beam positioning algorithms. The DPG leverages CMOS technology and infrastructure, which scale with device node. The rendering algorithms leverage HPC infrastructure, which also improves with increasing shrinks of chip design. Our stage control and beam positioning algorithms leverage high performance computing, digital signal processing, and FPGA. In contrast, things which are traditionally complex in optical lithography systems are comparatively simple in e-beam lithography. For example, the optics, stage and source are all readily available. Figure 2: REBL HVM Concept; showing 36 columns (in groups of six) 3. REBL DIGITAL PATTERN GENERATOR During the past year, KLA-Tencor has worked closely with TSMC and IMEC (Leuven, Belgium) to produce functional CMOS DPGs. The latest DPG chips (248 x 4096 electron-optical mirror array at 1.6 µm pitch) from IMEC have been integrated to the 75 kv column and fine tuned to optimize imaging in both PMMA and Chemically Amplified Resist (CAR) films (Figure 3). As can be seen in Figure 4, significant imaging performance improvements have been recently achieved for the DPG chip. Here we discuss improvements in two key areas of the DPG fabrication process which have resulted in the enhanced uniformity, contrast, and functionality improvements. Figure 3: REBL DPG with CMOS and Lenslet array (248 x 4096) mounted to a custom ceramic substrate Proc. of SPIE Vol H-3

4 Figure 4: DPG Performance Jan 2012 (left) and Sept 2012 (right). Aerial image viewed via mag stack and YAG screen The first improvement is in the passivation layer that lies between the CMOS circuitry and the manufactured MEMS lenslets. Due to process tool limitations, earlier iterations of the DPG chip only had 120 nm of silicon dioxide passivation between these two parts of the chip. Non-performing segments of the lenslets were frequently observed. Testing of failed chips demonstrated that the 120 nm silicon dioxide passivation layer was insufficient to prevent mechanical and electrical breakdowns between the CMOS and lenslets. A new process was implemented and this passivation layer is now 1 µm thick. The second improvement is in the charge drain coating in the lenslets. The electrode layers in the lenslets are separated by silicon dioxide insulator layers which are 1µm thick (Figure 5). We found that a charge will build up on the silicon dioxide when the chip is exposed to the electron beam. This charge will affect the electron-optical properties of the lenslets and prevent their proper operation. 1.6pm pitch 111Woomt E liewoomr Figure 5: Cross section of MEMS lenslet structure showing electrode planes separated by silicon dioxide insulator (white) To prevent this problem, a charge drain coating is to be applied to the lenslet walls. This coating needs to have an electrical resistance high enough to effectively drain electrons from the silicon dioxide, but low enough not to shortcircuit successive electrode planes which are at different voltage potentials. We calculated that a 14 nm coating with a resistivity of ~10-7 ohm-cm would lead to optimal performance of the lenslets. Other required characteristics are that the Proc. of SPIE Vol H-4

5 film be conformal to the high aspect ratio features, have a precisely controllable thickness, not exceed 300 C deposition temperature, and be stable in vacuum under 25MV/m electric fields. REBL had previously used a homogeneous mixture of two binary oxides deposited via Atomic Layer Deposition (ALD) for this purpose. These films were tunable in resistivity and met all the requirements except stability. Experimental testing found that under the high electric fields in the lenslets, these materials undergo slow electrochemical reduction, resulting in a drop in resistivity of several orders of magnitude over a few days. Due to this issue, a new material had to be found. The solution for charge drain coating consists of molybdenum oxide nanoclusters embedded in alumina (Figure 6). This material was co-developed with Argonne National Laboratories (ANL) and meets all the requirements for the charge drain coating resistivity. Moreover, the system is stable under vacuum and high electric fields. Data from testing under column conditions is shown in Figure 7, demonstrating the markedly improved stability of the new charge drain coating. A detailed paper by KLA-Tencor and ANL on this charge drain coating will be forthcoming. Figure 6: MoO 3-x nanoclusters (black) embedded in Al 2 O 3 imaged via TEM 1. E E+08 = _ - Homogeneous binary oxide - MoO3 x nanoclusters embedded in Al2O3 1. E+07 Mo03 -x nanoclusters: stable on colu (One of our chips has been operatingsinc; Homogeneous binary oxides: ra degradation short life 1. E E Time (hrs) Figure 7: Charge drain coating resistivity vs. time under column conditions Proc. of SPIE Vol H-5

6 As a result of these technological improvements, the imaging performance of the DPG chip has been greatly improved. CMOS controlled chips have shown multi-month stability on column with on:off contrast of up to 47:1. This is more than sufficient for lithography and the chips are currently being used for printing in PMMA and CAR. The chips are also capable of printing in Temporal Dose Integration (TDI) mode on a moving stage using grayscaling. il 1 /1 1 /1 1\ H 1\ 11 IN /1 1\ /1 1 \ /1 1\ /I IN i1 /1 IN /1 1\. l\ ID IL. IL, IL CI I I ILI ILI ILI ILI ILI ILI ILI ILI ILI ILI ILI Ir encor K t4 rencor K K LJ, irencor K KL4 encor K / K KL4)encor K K, entor K Figure 8: Test patterns on functional CMOS DPG chips imaged via a mag stack below the stage. Contrast up to 47:1 has been achieved. For future work at the 16 nm HP logic node the team is in the process of building a 100 kv column that will operate with a beam current >1 µa. The team is also working on a DPG3 version that will have a 248 x 4096 lenslet array with integrated data compression that can be addressed in rows. These DPG modifications will enable the DPG to write data in both directions which is needed for HVM applications. 4. LITHOGRAPHY RESULTS The third generation REBL column has now been integrated with a precision controlled wafer stage at KLA-Tencor (Figure 9). The team is currently running both static and dynamic (moving wafer stage) TDI lithography exposures using both PMMA and CAR films. With a 75 kev column (and 85X demagnification) the REBL team has produced static prints several different contact arrays down to a 28 nm half pitch (Figure 10). This print serves as a test of ultimate column resolution for Column 3 and met its original designed goals (32-28nm HP lower design limit). Proc. of SPIE Vol H-6

7 I. 3rd Generation Column 75kV source 85X demag 18.7nm pixel wafer Beam current 200nA - 1 wafer DPG2 Chip 4096 x 248 pixel array CMOS controlled 2.5V switching voltage FMaglev Stage Demo Platform 6 wafer stage I. Under -stage mag stack I Direct viewing of aerial image Figure 9: Experimental setup and conditions for lithography results 35nm HP 28nm HP Figure 10: Static printed hole arrays showing contact holes at 37 nm and 28 nm half pitch in PMMA (200 na beam current at wafer plane) Initial printing of patterns in TDI mode (on a moving stage) is shown in Figure 11. These are 120 nm chevrons printed at 300 na wafer plane beam current in PMMA. Printing direction is from the bottom to the top of the frame. These results are the first successful test of all aspects of the TDI printing system: CMOS DPG, rendering, data clocking, and stage metrology. The print resolution and LER for these prints are not yet meeting targets, however, due to electrical noise on the system that still needs to be identified and eliminated. This work is currently underway and is expected to allow the system to achieve 45 nm HP lithography in the coming months. Additional test print results are shown in Figure 12. Proc. of SPIE Vol H-7

8 -KLA- TENCDN CD SEM v v v tv / `7 N r A r V V \../../k//\\ V^V^` ^V^ Micron : Figure 11: 120nm HP dense chevrons printed on a moving stage in TDI mode, 300nA total beam current at wafer plane 125nm CD, 17 NClcm2 CAR, 300nA 92nm CD, PMMA, INA 120nm CD, PMMA, 500nA Figure 12: Additional TDI test prints in negative CAR and PMMA Der: O.4000om As a further demonstration, a device test pattern was obtained from an IMEC publication 14 consisting of a combined contact/metal pattern from a finfet device. This pattern was scaled to 100 nm HP node so that it could be printed on the REBL system given the current system noise limits (Figure 13). This is a lithographically challenging pattern because of the significant differences in pattern density between the isolated contacts and the dense metal. By using grayscale dosing, REBL is able to optimize the aerial image to print both patterns at the same time. Proc. of SPIE Vol H-8

9 100nm FinFET 6 -T SRAM Hole + Metal Level GDS (Scaled to 100nm HP) Figure 13: Combined contact/metal finfet pattern and scaled 100nm GDS version Figure 14 shows the GDS file rendered into a dose map by the KLA-Tencor EDGE software, which is a package which performs rendering, dose assignment, proximity correction, and backscatter correction for REBL prints. Each pixel in the image represents one pixel at the wafer plane, and the gray level corresponds to the 5-bit dose: with white being equivalent to dose zero and black to dose level 31. These data are then sent to the DPG chip and clocked across the chip in synchronization with the stage. As the wafer moves beneath the pattern, the appropriate bit blocks in the DPG chip are illuminated such that the pixels on the wafer receive the appropriate cumulative dose level from the DPG. A snapshot of the scrolling pattern on the DPG is also shown in Figure 14. DPG, wafer : :4:i,:4:....1:.1:.1:. : :4 ::..1:.1:.1: : :.1:. :4,: :4. : : :i; :so...1:.1:.1:...1:.1:.1:. : : o,í :1. White = dose Ivl 0, Black = dose lvl 31 Figure 14: Pixel grayscale dose assignments via EDGE software (left), mag stack image of DPG with scrolling grayscale pattern (right) Figure 15 shows print results from this test pattern printed in TDI mode on Column 3. CAR with 17 µc/cm 2 sensitivity was used and total beam current was 200 na at the wafer plane. These results show that REBL is capable of printing arbitrary device patterns on a moving stage in CAR. Ongoing work is being done to further improve the system, such as Proc. of SPIE Vol H-9

10 in isolating and removing electrical noise, in order to enable us to print patterns with a target 45 nm HP resolution on this system. Figure 15: 100nm HP Contact / Metal Test Pattern Print in CAR, wafer plane 5. SUMMARY We have demonstrated that the REBL architecture has the potential to provide a HVM solution at 16 nm and beyond, and our throughput calculations support current design decisions. KLA-Tencor optical simulations support HVM capability through at least the 16 nm HP node (logic). We described improvements in CMOS controlled DPG performance to the point where the chips are usable for arbitrary-pattern lithography. TDI printing on a moving wafer stage has produced a wide variety of grayscaled lithography patterns at 100 nm HP. Further work needs to be done to reduce electrical noise and achieve our full resolution entitlement. 6. ACKNOWLEDGEMENTS This project is supported by DARPA and KLA-Tencor under the DARPA Agreement No. HR The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter and should not be interpreted as representing the official views or policies, either expressed or implied, of the Defense Advanced Research Projects Agency or the Department of Defense. The authors wish to thank the staff at DARPA, SPAWAR, NRL, and MIT-Lincoln Laboratory for their technical support and many helpful suggestions. Thanks to ANL for their assistance in development of the charge drain coating. Thanks to DI^2 for continued technical support. REFERENCES 1) R. Freed, T. Gubiotti, J. Sun, A. Cheung, J. Yang, M. McCord, P. Petric, A. Carroll, U. Ummethala, L. Hale, J. Hench, S. Kojima, W. Mieher, C. Bevis, Reflective electron-beam lithography performance for the 10 nm logic node, BACUS, ) R. Herriott, R. J. Collier, D. S. Alles, and J. W. Stafford, IEEE Trans.Electron Devices 22, 385, ) Kretz et al., Microelectron. Eng. 85, 792, ) Moore, G. Caccoma, H. Pfeiffer, E. Weber, and O. Woodard, Electronics 54, 138, ) C. Pfeiffer, Solid State Technol., 27, 223, ) Maruyama et al., Proc. SPIE , Proc. of SPIE Vol H-10

11 7) D. Berger, J. M. Gibson, R. M. Camarda, R. C. Farrow, H. A. Huggins, and J. S. Kraus, J. Vac. Sci. Technol., B 9, 2996, ) S. Dhaliwal et al., IBM J. Res. Dev. 45, 615, ) C. Pfeiffer and W. Stickel, Future Fab International 12, 187, ) B. Kampherbeek, M. Wieland, et al., Proc. SPIE , ) Eder-Kapl, E. Haugeneder, H. Langfisher, K. Reimer, J. Eichholz, M. Witt, H.-J. Doering, J. Heinitz, and C. Brandstaetter, Microelectron. Eng. 83, 968, ) Paul Petric, Chris Bevis, Allen Carroll, Henry Percy, Marek Zywno, Keith Standiford, Alan Brodie, Noah Bareket, and Luca Grella, J. Vac. Sci. Technol., B 27, 161, ) Petric et al., Proc. SPIE , ) Goethals et al., EUVL 2009 Conference, Proc. of SPIE Vol H-11

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Invited Paper REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Mark A. McCord, Paul Petric, Upendra Ummethala, Allen Carroll, Shinichi Kojima, Luca Grella, Sameet

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG SEMATECH Workshop on Maskless Lithography San Francisco, CA Dec 14 2008 PML2 Projection Mask-Less Lithography The mask-less electron multi-beam solution for the 22nm node and beyond AG Projection Mask-Less

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology L. Pain (1), B. Icard (1), M. Martin (1), C. Constancias (1), S. Tedesco (1), P. Wiedeman

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013 Imaging across the world PMJ 213 Panel Discussion Challenges for future EB mask writers Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 213 vs. pixelated gray beam Two shaping apertures

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE This week announced updates to four systems the 2920 Series, Puma 9850, Surfscan SP5 and edr-7110 intended for defect inspection and review of 16/14nm node

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Digital electrostatic electron-beam array lithography

Digital electrostatic electron-beam array lithography Digital electrostatic electron-beam array lithography L. R. Baylor, a) D. H. Lowndes, M. L. Simpson, C. E. Thomas, b) M. A. Guillorn, V. I. Merkulov, J. H. Whealton, E. D. Ellis, D. K. Hensley, and A.

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

The Need for Multiple Alternatives for sub-20 nm Lithography

The Need for Multiple Alternatives for sub-20 nm Lithography The Need for Multiple Alternatives for sub-20 nm Lithography Moshe Preil GLOBALFOUNDRIES Introduction Over the past 30 years, minimum feature sizes for advanced integrated circuits have been reduced by

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Lecture 20: Optical Tools for MEMS Imaging

Lecture 20: Optical Tools for MEMS Imaging MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 20: Optical Tools for MEMS Imaging 1 Overview Optical Microscopes Video Microscopes Scanning Electron

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

One of the key issues in implementing the transition from photolithography to projection e-beam

One of the key issues in implementing the transition from photolithography to projection e-beam Mark topography for alignment and registration in projection electron lithography Reginald C. Farrow, Masis Mkrtchyan, Kevin Bolen, Myrtle Blakey, Chris Biddick, *Ljnus Fetter, Harold Huggins, Regine Tarascon,

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Snapshot Mask-less fabrication of embedded monolithic SU-8 microstructures with arbitrary topologies

Snapshot Mask-less fabrication of embedded monolithic SU-8 microstructures with arbitrary topologies Snapshot Mask-less fabrication of embedded monolithic SU-8 microstructures with arbitrary topologies Pakorn Preechaburana and Daniel Filippini Linköping University Post Print N.B.: When citing this work,

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES

REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES REALISTIC METHOD OF 193 NM LITHOGRAPHY EXTENSIONS TO 1X-NM NODES Soichi Owa Nikon Corporation The current state-of-the-art development technology in immersion lithography has successfully demonstrated

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam J. Belledent 1, M. Smayling 2, J. Pradelles 1, P. Pimenta-Barros 1, S. Barnola 1, L. Mage 1, B. Icard 1, C. Lapeyre 1, S. Soulan 1,

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information