One of the key issues in implementing the transition from photolithography to projection e-beam

Size: px
Start display at page:

Download "One of the key issues in implementing the transition from photolithography to projection e-beam"

Transcription

1 Mark topography for alignment and registration in projection electron lithography Reginald C. Farrow, Masis Mkrtchyan, Kevin Bolen, Myrtle Blakey, Chris Biddick, *Ljnus Fetter, Harold Huggins, Regine Tarascon, Steven Berger AT&T Bell Laboratories, Murray Hill, NJ *AT&T Bell Laboratories, Holmdel, NJ ABSTRACT We have studied two mark geometries for possible use in a projection e-beam lithography system using SCALPEL (SCattering with Angular Limitation in Projection Electron Lithography).' These are V-grooves and vertically etched geometries -- pedestals or trenches. We report results of measurements of backscattered electron (BSE) contrast from topographic marks of varying size and as a function of energy up to 100 kv. The marks were fabricated on silicon wafers. The measurements were taken both in a scanning electron microscope and in an experimental SCALPEL machine operating in focused probe mode. The V-grooves ranged from 1.0 to 30 im wide. The vertical etched features ranged from 2to 30 im wide and 0.6 and 50 im depth. The results depended not only on the feature width and depth, but also on whether the features were isolated or in line and space patterns. Using a BSE ratio of 1.05 as a criterion for acceptable contrast from an alignment mark, V- grooves and vertical etched features had acceptable contrast with exception of the smallest and shallowest features for both geometries. Keywords: alignment marks, mark detection, topographic marks, backscattered electrons, e-beam lithography, projection electron lithography, SCALPEL, V-grooves, alignment and registration 1. NTRODUCTON One of the key issues in implementing the transition from photolithography to projection e-beam lithography (SCALPEL) is the adaptability of current processes for alignment mark fabrication. This is especially important in the context of a mix and match strategy, where only critical layers will be exposed by projection e-beam lithography. The mark detection system for SCALPEL uses backscattered electrons to detect the overlap between the image of a mask mark and the corresponding mark on a wafer (the mask image features are referred to as "beamlets").2'3 The BSE contrast from the mark has to be sufficient to provide a signal that will allow for mark detection under the proposed operating conditions of a high throughput lithography These operating conditions would require that during mark detection for alignment and registration, the BSE signal from the mark must be acquired in 10 jisec with a probe current of ia at 100 kv. Earlier we reported that a sufficient requirement for the mark under these conditions is that the ratio of the BSE signal between aligned and misaligned conditions be at least This requirement was established after analysis of experiments with the proposed mark detection system in an experimental SCALPEL machine. One possible wafer mark fabrication process is the deposition of a material such as a metal. For deposited materials the BSE contrast results from the difference in BSE coefficient between the mark and the underlying substrate material (i.e. silicon). Using a single scattering theory for thin films deposited on a bulk substrate6, the BSE coefficient is proportional to Z2t, where Z is the atomic number and t is the film thickness.7 This type of signal is referred to as compositional contrast or Z contrast in scanning electron microscopy. We will, therefore, refer to marks that exploit this contrast mechanism as compositional marks. Previously reported experiments and theoretical calculations showed that there is sufficient BSE contrast for mark detection from deposited films of most of the materials being considered for conductive links ULS circuits.5 Compositional mark contrast is not significantly degraded by resist overlayers. As an example, an alignment mark composed of 100 nm of tungsten on a silicon substrate (patterned appropriately) would provide sufficient BSE contrast. However, there was not sufficient contrast from 500 nm of aluminum on silicon because of the small difference in atomic number. One possible disadvantage to using compositional marks is that their fabrication may require the deposition of a material that is not one of the circuit materials if the normal circuit materials would not generate sufficient BSE signal for mark detection. O /96/$6.OO SP1E Vol / 143

2 Another wafer mark fabrication process is to etch marks into the wafer or overlayer. The BSE signal is then dependent on the cross sectional geometry of the mark features or topography. We will refer to this type of mark as a topographic mark. Two versions of topographic marks that have been studied in e-beam lithography are V-grooves and vertically etched features -- pedestals or trenches. V-grooves are fabricated on <001> oriented silicon using an anisotropic etch in KOH. The KOH etch exposes the (1 1 1) planes which are at 54.7 angles from the surface normal. Vertically etched features are fabricated on silicon by using a plasma etch process. They can also be fabricated with an anisotropic etch using <1 10> oriented silicon. n this case the (11 1) planes are perpendicular to the surface normal. Topographic marks are advantageous for C process integration since they do not require the deposition of a special scatterer for BSE contrast. n this case the BSE contrast derives from the angular dependence of BSE scattering and is also dependent on the BSE detector location and solid angle. Studies have shown that both geometries can be used as alignment marks in direct write e-beam 89 The results reported here will emphasize topographic marks for SCALPEL proposed operating conditions. However, the results are applicable to all e-beam lithography systems. n the present study V-grooves and vertically etched features were fabricated on silicon and the BSE contrast was measured. Dependence of BSE contrast on the dimensions of the marks was measured and for the V-grooves the energy dependence was measured. Formerly we have qualitatively discussed the topographic contrast generation in the case of V-grooves and This qualitative level of understanding of the problem, apparently appropriate for the relatively large size marks (compared to the electron range), is not capable of explaining the experimental results obtained here for a wide range of variation of mark size and incident electron energy. A comprehensive model of the topographic contrast generation requires the consideration of the complex variety of interaction effects of the electrons with a solid target including elastic and inelastic, single (large angle) and multiple (small angle) scattering. The difficulties encountered in the corresponding mathematical task are significant and simpler analytical theories, such as Everhart's singlescattering10 and Archard's diffusion'1 theories, or Monte Carlo (MC) method9 are often used to describe the electron backscattering.12 We have applied these simple theories to simulate the BSE contrast generation from an isolated topographic mark. Unlike MC method, these theories are capable of revealing of the basic trends in the contrast generation when the parameters involved vary in a wide range. We have found that a combined model which accounts for both large-angle single-scattering and diffusion (multiple scattering) fractions of electron backscattering has the potential to explain the experimental results presented here. A detailed discussion of this model and the results obtained for different marks will be published later EXPERMENT The V-grooves were fabricated on <100> silicon wafers in a pattern of lines ad spaces and isolated lines which were oriented perpendicular to the wafer flat. The pattern was produced using e-beam lithography and the V-grooves were formed by a KOH etch. The features ranged from 1.0 to 30 im wide which corresponds to 0.7 to 20 im depth. The vertical etched features were fabricated on <110> silicon wafers in a similar pattern using e-beam lithography. These were etched in KOH for a series of time durations to give features of different depths from.6 to 50 tim. The depths were measured with a surface profilometer. For the V-grooves measurements of BSE contrast were recorded in an SEM and in an experimental SCALPEL machine. The SEM was a JEOL 6300F (field emission source). The BSE detector was an annular type with two semi-circular regions. Measurements were taken with the samples at a working distance of 12 mm. The detector solid angle was 0.39 sr. The SEM measurements were performed by recording the signal from the BSE detector amplifier on a digital oscilloscope.. The image of the feature of interest was centered and oriented on the SEM monitor and line profiles were recorded from 15 to 30 kv. For the V-grooves the BSE ratio was defined as the ratio between the BSE signal away from the feature and the minimum signal (at the trough of the V-groove). 144 SPE Vol. 2723

3 The experimental SCALPEL machine has been described elsewhere.14 t is a modified JEOL 4000 transmission electron microscope (TEM). The TEM camera apparatus was replaced with a computer controlled wafer translation stage. The BSE detector was an EG&G surface barrier detector mounted at a 45 angle at a distance of 39 mm from the samples. The detector solid angle was 0.29 sr. Measurements were taken by 15 operating the SCALPEL machine in an SEM mages of the sample were recorded in an image acquisition system and analyzed on a computer in a similar way as the SEM recorded lineprofiles. 3. RESULTS AND DSCUSSON Examples of BSE lineprofiles collected from V-grooves are shown in Fig. 1. The BSE signal increases as the electron beam approaches the edge of the V-groove. This is a consequence of the range, R, of the electrons. The excess signal is from backscattered electrons that exit the sample through the walls of the V-groove. This type of signal is often referred to as edge enhancement. The electron range dependence in the edge enhancement is evident from Fig ic. The onset of the excess BSE signal occurs closer to the V-groove at lower energy where R is smaller. Compare the 15 kv (R =2.7 rim) to the 25 kv lineprofile (R = 6.2 rim). We have used the Bethe range, RB, to estimate R for the purposes of qualitatively describing the data. At constant energy the maximum amplitude of the excess BSE signal is a function of the depth, h, of the V-groove and the takoff angle, i1ii, of the detector. The iji dependence is most clearly evidenced in the 30 im V-groove at 100 kv (see Fig. la). n the 100 kv measurements the takeoff angle differs on each of the inside faces of the feature because of the location and orientation of the detector. This causes a non-symmetric BSE lineprofile. Also, the maximum amplitude of the excess BSE signal reaches a plateau when the depth of the V-groove reaches and exceeds the range of the backscatter electron (see Fig. ib). 100 kv 30kV c) 3 tm ci) C,) Displacement (rim) Fig. 1 BSE lineprofiles from V-grooves at a) width. U U U 100 kv, b) 30 kv, c) 3 im SPE Vol / 145

4 The BSE signal decreases from the maximum excess signal near the edge of the V-groove and reaches a minimum when the beam is at the bottom of the feature. The behavior of the BSE signal in this region is dependent on h, R, and iy. One interesting effect is the appearance of an additional inflection point in the lineprofile when the depth of the feature becomes larger than R (see Fig ib, 11 rim, and Fig. ic, 15 kv). The minimum BSE signal also reaches a plateau. n Fig. 2 are plotted the BSE ratio as a function of V-groove depth for 30 kv. The BSE ratio reaches a plateau at approximately half of the electron range (R = 8.2 tim). 30kV V-Groove Depth (tim) Fig. 2 BSE ratio as a function of depth for V-grooves at 30 kv The energy dependence of the BSE ratio for the 3 jim V-groove is shown in Fig. 3 compared to theoretical calculations based on a combined single-scattering diffusion theory. t should be noted that the measurements are from two different experimental apparatus. The BSE detector for the 100 kv measurements (SCALPEL machine) has a different solid angle and ipr than the SEM measurements. The plot illustrates the expected behavior when compared to the calculated BSE ratio for the annular detector used with the SEM measurements. This will be discussed in more detail in a separate paper.'3 C 5.' 2.6' '' 1.8' 1.6' SEM SCALPEL Machine Theory - Hemispherical Detector Theory - SEM Annular Detector Energy (kev) Fig. 3 Energy dependence of BSE ratio for 3 jim wide V-groove compared to theory /SP!E Vol. 2723

5 n Fig. 4 are plotted examples of lineprofiles from pedestals of 4 jim width that are separated by 4 jim (i.e. lines and spaces) for a series of depths at 100 kv. Here again the takeoff angle and detector location cause non-symmetric lineprofiles. The edges of the features are not resolved. This is because of range of the electrons at 100 kv (R = 62 jim). The interior pedestals have reduced contrast compared to the outside pedestals and become comparable as h approaches approximately R12. n Fig. 5 are plotted the BSE ratio vs. h for 4 jim and 16 jim lines and spaces and for a 30 jim isolated pedestal. The V-groove data is also plotted on the same figure (see Fig. 5). Notice that the 30 jim isolated pedestal has less signal when compared to the 16 jim line and space and the V-groove. For both V-grooves and pedestals the BSE ratio is acceptable for mark detection with the exception of the smallest and shallowest features. 4 p.m Lines and Spaces ȧ-i ri) Ci) ' Displacement (jim) Fig. 4 Plot of BSE signal vs. displacement for 4im pedestals etched in silicon at different depths at 100 kv. 100 kv A 1.0 p_! Depth (jim) A Fig. 5 Plot of BSE ratio vs. depth for vertically etched features and V- grooves at 100 kv. U 4 im Pedestals (Lines & Spaces) 16 im Pedestals (Lines & Spaces) A 3OimPedestal (solated Line) V-groove (solated) Detection Criterion SPE Vol / 147

6 A further analysis is warranted to determine how the topographic mark contrast is manifested using the beamlet mark detection system.5 Unlike the present measurements, the beamlets that are scanned over the mark features are typically the same lateral size (e.g. width) as the feature. Therefore the detected BSE signal lineprofiles will be broader and have less contrast than those for a focused probe measurement. For the V- groove topography the contrast is reduced more than either a pedestal or trench topography. This effect is simulated in Fig. 6 for a 3 jim pedestal, trench, and V-groove. Assuming that the electron probe penetration is much smaller than the feature size, a focused probe will generate a BSE lineprofile that matches the geometry of the feature (the dashed lines in Figs. 6a and 6b and the V shaped feature in Fig. 6c). T the lineprofiles from the focused probe simulations are convoluted with a "top hat" function of unit amplitude, the effects of a beamlet probe can be simulated. The resulting BSE lineprofiles would have a V shape for the pedestal and trench (see Figs. 6a and 6b). The maximum contrast would be the same as for a focused probe in the case of the pedestal and trench topography. f the beamlet is scanned over the V-groove the BSE lineprofile is sinusoidal and the maximum contrast is half of the corresponding focused probe lineprofile (see Fig. 6c) TJ) Displacement (jim) Fig. 6 Comparison of simulated BSE signal lineprofiles for focused probe and beamlet detection schemes. a) pedestal, b) trench, c) V-groove, d) 3 jim V-groove at 30 kv convoluted with 3 jim "top hat" function (see text). Taking into account penetration of the electron probe and multiple scattering effects will further reduce the BSE contrast with all marks. Beam penetration and multiple scattering effects were discussed earlier in the context of the excess BSE signal near the edge of the V-groove. Multiple scattering effects can be simulated by convoluting measured BSE lineprofiles (focused probe) with a "top hat" function of unit amplitude. The resulting lineprofile is shown in Fig. 6d, where the 3 jim V-groove lineprofile at 30 kv was used. The beamlet lineprofile has less than half the contrast of the original lineprofile (see Fig. 6d). The BSE ratio is reduced to 1.19 compared to 2.06 for the original lineprofile. 148/SPE Vol. 2723

7 4. CONCLUSON We have measured BSE signal lineprofiles from topographic marks of both V-grooves and pedestals as a function of width and depth. The lineprofiles show dependencies of mark depth, electron range, and detector location and takeoff angle. The energy dependence of the V-grooves agrees with predictions using a combined single scattering and diffusion theory. Using a BSE ratio of 1.05 as a criterion for acceptable contrast from an alignment mark, V-grooves and vertical etched features had acceptable contrast with exception of the smallest and shallowest features for both geometries at 100 kv. An analysis of the BSE signal using the proposed mark detection system for SCALPEL, shows that the contrast for a V-groove geometry will be reduced to half of that expected from the vertical etched features. These factors will have to be considered in the design of a topographic mark process for alignment and registration under high throughput operating conditions. 5. ACKNOWLEDGMENTS This work was supported by ARPA under contract MDA C SCALPEL is a registered trademark of AT&T Corporation. 6. REFERENCES 1. S. D. Berger, J. M. Gibson, R. M. Camarda, R. C. Farrow, H. A. Huggins, J. S. Kraus, J. A. Liddle, J. Vac. Sci. Technol. B 9, 2996 (1991). 2. R. C. Farrow, J. A. Liddle, S. D. Berger, H. A. Huggins, J. S. Kraus, R. M. Camarda, C. W. Jurgensen, R. R. Kola, And L. Fetter, J. Vac. Sci. Technol. B 10, 2780 (1992). 3. J. Frosien, B. Lischke, and K. Anger, J. Vac. Sci. Technol. 16, 1827 (1979). 4. J. A. Liddle, and S. D. Berger, J. Vac. Sci. Technol. B 10, 2776 (1992). 5. R. C. Farrow, J. A. Liddle, S. D. Berger, H. A. Huggins, J. S. Kraus, R. M. Camarda, R. G. Tarascon, C. W. Jurgensen, R. R. Kola, and L. Fetter, J. Vac. Sci. Technol. B 11, 2175 (1993). 6. For a review, see H. Niedrig, J. Appl. Phys. 53, R15 (1982). 7. P. B. DeNee, in Proceedings of Scanning Electron Microscopy 1978 (AMF O'Hare, L, 1978), Vol., H. Friedrich, H.-U. Zeitler, and H. Bierhenke, J. Electrochem. Soc., 124, 627 (1977). 9. D. Stephani, J. Vac. Sci. Technol. 16, 1739 (1979). 10. T. E. Everhart, J. Appl. Phys. 31, 1483 (1960). 11. G. D. Archard, J. App!. Phys. 32, 1505 (1961). 12. H. Niedrig, J. App!. Phys. 53, R15 (1982). 13. M. M. Mkrtchyan and R. C. Farrow, EPB-96, (1996) (to be published). 14. R. C. Farrow, S. D. Berger, J. M. Gibson, J. A. Liddle, J. S. Kraus, R. M. Camarda, and H. A. Huggins, J. Vac. Sci. Technol. B 9, 3582 (1991). 15. M. B. Heritage, J. Vac. Sci. Technol., 12, 1135, (1975). SPE Vol / 149

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

SECONDARY ELECTRON DETECTION

SECONDARY ELECTRON DETECTION SECONDARY ELECTRON DETECTION CAMTEC Workshop Presentation Haitian Xu June 14 th 2010 Introduction SEM Raster scan specimen surface with focused high energy e- beam Signal produced by beam interaction with

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

SEM CHARACTERIZATION OF MULTILAYER STRUCTURES

SEM CHARACTERIZATION OF MULTILAYER STRUCTURES Vol. 83 (1993) ACTA PHYSICA POLONICA A No 1 SEM CHARACTERIZATION OF MULTILAYER STRUCTURES V.V. ARISTOV, N.N. DRYOMOVA, V.A. KIREEV, I.I. RAZGONOV AND E.B. YAKIMOV Institute of Microelectronics Technology

More information

(Refer Slide Time: 00:10)

(Refer Slide Time: 00:10) Fundamentals of optical and scanning electron microscopy Dr. S. Sankaran Department of Metallurgical and Materials Engineering Indian Institute of Technology, Madras Module 03 Unit-6 Instrumental details

More information

Chapter 1. Basic Electron Optics (Lecture 2)

Chapter 1. Basic Electron Optics (Lecture 2) Chapter 1. Basic Electron Optics (Lecture 2) Basic concepts of microscope (Cont ) Fundamental properties of electrons Electron Scattering Instrumentation Basic conceptions of microscope (Cont ) Ray diagram

More information

5. The Scanning Electron Microscope

5. The Scanning Electron Microscope Physical Principles of Electron Microscopy 5. The Scanning Electron Microscope Ray Egerton University of Alberta and National Institute of Nanotechnology Edmonton, Canada www.tem-eels.ca regerton@ualberta.ca

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Enhanced Thermoelectric Performance of Rough Silicon Nanowires Allon I. Hochbaum 1 *, Renkun Chen 2 *, Raul Diaz Delgado 1, Wenjie Liang 1, Erik C. Garnett 1, Mark Najarian 3, Arun Majumdar 2,3,4, Peidong

More information

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein a) Department of Physics, University at Albany, State University of

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Laser Surface Profiler

Laser Surface Profiler 'e. * 3 DRAFT 11-02-98 Laser Surface Profiler An-Shyang Chu and M. A. Butler Microsensor R & D Department Sandia National Laboratories Albuquerque, New Mexico 87185-1425 Abstract By accurately measuring

More information

RECENTLY, using near-field scanning optical

RECENTLY, using near-field scanning optical 1 2 1 2 Theoretical and Experimental Study of Near-Field Beam Properties of High Power Laser Diodes W. D. Herzog, G. Ulu, B. B. Goldberg, and G. H. Vander Rhodes, M. S. Ünlü L. Brovelli, C. Harder Abstract

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Choosing the Right Accelerating Voltage for SEM (An Introduction for Beginners)

Choosing the Right Accelerating Voltage for SEM (An Introduction for Beginners) Microscopy101 Choosing the Right Accelerating Voltage for SEM (An Introduction for Beginners) V.M. Dusevich*, J.H. Purk, and J.D. Eick University of Missouri Kansas City, School of Dentistry, 650 E. 25

More information

Scanning Electron Microscopy Basics and Applications

Scanning Electron Microscopy Basics and Applications Scanning Electron Microscopy Basics and Applications Dr. Julia Deuschle Stuttgart Center for Electron Microscopy MPI for Solid State Research Room: 1E15, phone: 0711/ 689-1193 email: j.deuschle@fkf.mpg.de

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

NanoSpective, Inc Progress Drive Suite 137 Orlando, Florida

NanoSpective, Inc Progress Drive Suite 137 Orlando, Florida TEM Techniques Summary The TEM is an analytical instrument in which a thin membrane (typically < 100nm) is placed in the path of an energetic and highly coherent beam of electrons. Typical operating voltages

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

A Parallel Radial Mirror Energy Analyzer Attachment for the Scanning Electron Microscope

A Parallel Radial Mirror Energy Analyzer Attachment for the Scanning Electron Microscope 142 doi:10.1017/s1431927615013288 Microscopy Society of America 2015 A Parallel Radial Mirror Energy Analyzer Attachment for the Scanning Electron Microscope Kang Hao Cheong, Weiding Han, Anjam Khursheed

More information

MCR Scanning Electron Microscopy Laboratory Portfolio

MCR Scanning Electron Microscopy Laboratory Portfolio SUNY College of Environmental Science and Forestry Digital Commons @ ESF N.C. Brown Center for Ultrastructure Studies Fall 2016 MCR 484 - Scanning Electron Microscopy Laboratory Portfolio Timothy Gervascio

More information

Functions of the SEM subsystems

Functions of the SEM subsystems Functions of the SEM subsystems Electronic column It consists of an electron gun and two or more electron lenses, which influence the path of electrons traveling down an evacuated tube. The base of the

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By

A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By Observation and Manipulation of Gold Clusters with Scanning Tunneling Microscopy A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By Dogukan Deniz In Partial

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Investigate in magnetic micro and nano structures by Magnetic Force Microscopy (MFM)

Investigate in magnetic micro and nano structures by Magnetic Force Microscopy (MFM) Investigate in magnetic micro and nano 5.3.85- Related Topics Magnetic Forces, Magnetic Force Microscopy (MFM), phase contrast imaging, vibration amplitude, resonance shift, force Principle Caution! -

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Exposure schedule for multiplexing holograms in photopolymer films

Exposure schedule for multiplexing holograms in photopolymer films Exposure schedule for multiplexing holograms in photopolymer films Allen Pu, MEMBER SPIE Kevin Curtis,* MEMBER SPIE Demetri Psaltis, MEMBER SPIE California Institute of Technology 136-93 Caltech Pasadena,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy F. Sarioglu, M. Liu, K. Vijayraghavan, A. Gellineau, O. Solgaard E. L. Ginzton Laboratory University Tip-sample

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR

AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR 587 AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR J.A. Voorthuyzen and P. Bergveld Twente University, P.O. Box 217, 7500 AE Enschede The Netherlands ABSTRACT The operation of the Metal Oxide Semiconductor

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

Supplementary Information: Nanoscale. Structure, Dynamics, and Aging Behavior of. Metallic Glass Thin Films

Supplementary Information: Nanoscale. Structure, Dynamics, and Aging Behavior of. Metallic Glass Thin Films Supplementary Information: Nanoscale Structure, Dynamics, and Aging Behavior of Metallic Glass Thin Films J.A.J. Burgess,,, C.M.B. Holt,, E.J. Luber,, D.C. Fortin, G. Popowich, B. Zahiri,, P. Concepcion,

More information

Outline: Introduction: What is SPM, history STM AFM Image treatment Advanced SPM techniques Applications in semiconductor research and industry

Outline: Introduction: What is SPM, history STM AFM Image treatment Advanced SPM techniques Applications in semiconductor research and industry 1 Outline: Introduction: What is SPM, history STM AFM Image treatment Advanced SPM techniques Applications in semiconductor research and industry 2 Back to our solutions: The main problem: How to get nm

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac

Integrated Circuits: FABRICATION & CHARACTERISTICS - 4. Riju C Issac Integrated Circuits: FABRICATION & CHARACTERISTICS - 4 Riju C Issac INTEGRATED RESISTORS Resistor in a monolithic IC is very often obtained by the bulk resistivity of one of the diffused areas. P-type

More information

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING Miroslav HORÁČEK, František MATĚJKA, Vladimír KOLAŘÍK, Milan MATĚJKA, Michal URBÁNEK Ústav přístrojové techniky AV ČR,

More information

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS

Diamond X-ray Rocking Curve and Topograph Measurements at CHESS Diamond X-ray Rocking Curve and Topograph Measurements at CHESS G. Yang 1, R.T. Jones 2, F. Klein 3 1 Department of Physics and Astronomy, University of Glasgow, Glasgow, UK G12 8QQ. 2 University of Connecticut

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Low Voltage Electron Microscope

Low Voltage Electron Microscope LVEM5 Low Voltage Electron Microscope Nanoscale from your benchtop LVEM5 Delong America DELONG INSTRUMENTS COMPACT BUT POWERFUL The LVEM5 is designed to excel across a broad range of applications in material

More information

Scanning electron microscope

Scanning electron microscope Scanning electron microscope 5 th CEMM workshop Maja Koblar, Sc. Eng. Physics Outline The basic principle? What is an electron? Parts of the SEM Electron gun Electromagnetic lenses Apertures Detectors

More information

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy

Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy SCANNING Vol. 8, 294-299 (1986) 0 FACM. Inc. Received: August 29, 1986 Original Paper Design and Application of a Quadrupole Detector for Low-Voltage Scanning Electron Mcroscopy R. Schmid and M. Brunner"

More information

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical 286 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 26, NO. 2, JANUARY 15, 2008 Design and Fabrication of Sidewalls-Extended Electrode Configuration for Ridged Lithium Niobate Electrooptical Modulator Yi-Kuei Wu,

More information

Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000

Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000 SCIENTIFIC INSTRUMENT NEWS 2017 Vol. 8 M A R C H Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000 Masahiro Kiyohara

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Scanning electron microscope

Scanning electron microscope Scanning electron microscope 6 th CEMM workshop Maja Koblar, Sc. Eng. Physics Outline The basic principle? What is an electron? Parts of the SEM Electron gun Electromagnetic lenses Apertures Chamber and

More information

Design, Fabrication and Characterization of Very Small Aperture Lasers

Design, Fabrication and Characterization of Very Small Aperture Lasers 372 Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 Design, Fabrication and Characterization of Very Small Aperture Lasers Jiying Xu, Jia Wang, and Qian Tian Tsinghua

More information

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers.

Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Supplementary Figure 1. Effect of the spacer thickness on the resonance properties of the gold and silver metasurface layers. Finite-difference time-domain calculations of the optical transmittance through

More information

ELECTRON MICROSCOPY AN OVERVIEW

ELECTRON MICROSCOPY AN OVERVIEW ELECTRON MICROSCOPY AN OVERVIEW Anjali Priya 1, Abhishek Singh 2, Nikhil Anand Srivastava 3 1,2,3 Department of Electrical & Instrumentation, Sant Longowal Institute of Engg. & Technology, Sangrur, India.

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

IV Assembly and Automation of the SPR Spectrometer

IV Assembly and Automation of the SPR Spectrometer IV Assembly and Automation of the SPR Spectrometer This chapter is dedicated to the description of the experimental set-up and the procedure used to perform SPR measurements. We start with a schematic

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications

Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications Extending Acoustic Microscopy for Comprehensive Failure Analysis Applications Sebastian Brand, Matthias Petzold Fraunhofer Institute for Mechanics of Materials Halle, Germany Peter Czurratis, Peter Hoffrogge

More information

Magellan XHR SEM. Discover the world of extreme high resolution scanning electron microscopy

Magellan XHR SEM. Discover the world of extreme high resolution scanning electron microscopy Magellan XHR SEM Discover the world of extreme high resolution scanning electron microscopy Gold particles on carbon test sample imaged at 200 V and a horizontal field width (HFW) of 500 nm. Unprecedented

More information

Characterization of Silicon-based Ultrasonic Nozzles

Characterization of Silicon-based Ultrasonic Nozzles Tamkang Journal of Science and Engineering, Vol. 7, No. 2, pp. 123 127 (24) 123 Characterization of licon-based Ultrasonic Nozzles Y. L. Song 1,2 *, S. C. Tsai 1,3, Y. F. Chou 4, W. J. Chen 1, T. K. Tseng

More information

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA

ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER. Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA ANALYSIS OF ELECTRON CURRENT INSTABILITY IN E-BEAM WRITER Jan BOK, Miroslav HORÁČEK, Stanislav KRÁL, Vladimír KOLAŘÍK, František MATĚJKA Institute of Scientific Instruments of the ASCR, v. v.i., Královopolská

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

ANALYTICAL MICRO X-RAY FLUORESCENCE SPECTROMETER

ANALYTICAL MICRO X-RAY FLUORESCENCE SPECTROMETER Copyright(c)JCPDS-International Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 325 ANALYTICAL MICRO X-RAY FLUORESCENCE SPECTROMETER ABSTRACT William Chang, Jonathan Kerner, and Edward

More information

William W. Moschler, Jr. and

William W. Moschler, Jr. and DIRECT SCANNING DENSITOMETRY: AN EFFECT OF SAMPLE HETEROGENEITY AND APERTURE AREA William W. Moschler, Jr. Research Associate and Paul M. Winistorfer Assistant Professor Department of Forestry, Wildlife,

More information

USE OF GUIDED WAVES FOR DETECTION OF INTERIOR FLAWS IN LAYERED

USE OF GUIDED WAVES FOR DETECTION OF INTERIOR FLAWS IN LAYERED USE OF GUIDED WAVES FOR DETECTION OF INTERIOR FLAWS IN LAYERED MATERIALS Gordon G. Krauss Julie Chen Paul E. Barbone Department of Aerospace and Mechanical Engineering Boston University Boston, MA 02215

More information

Secondary Electron Detector

Secondary Electron Detector Secondary Electron Detector Fig. 17 Everhart-Thornley Detector (Fig. 7-9, p. 215, Bozzola and Russell) Secondary electrons (SE) are attracted to Faraday cage because of its positive charge. Detector surface

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

A. Mandelis, R. Bleiss. To cite this version: HAL Id: jpa

A. Mandelis, R. Bleiss. To cite this version: HAL Id: jpa Highly-resolved separation of carrier and thermal wave contributions to photothermal signals from Cr-doped silicon using rate-window infrared radiometry A. Mandelis, R. Bleiss To cite this version: A.

More information

3D simulations of the experimental signal measured in near-field optical microscopy

3D simulations of the experimental signal measured in near-field optical microscopy Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 235 239. Received 6 December 1998; accepted 4 February 1999 3D simulations of the experimental signal measured in near-field optical microscopy

More information

MEMS Wind Direction Detection: From Design to Operation

MEMS Wind Direction Detection: From Design to Operation MEMS Wind Direction Detection: From Design to Operation Author Adamec, Richard, Thiel, David, Tanner, Philip Published 2003 Conference Title Proceedings of IEEE Sensors, 2003: Volume 1 DOI https://doi.org/10.1109/icsens.2003.1278954

More information

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2012

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2012 Microsc. Microanal. 18, 628 637, 2012 doi:10.1017/s1431927612000207 Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2012 Spatial Resolution Optimization of Backscattered Electron Images Using

More information

Use of Back Scattered Ionizing Radiation for Measurement of Thickness of the Catalytic Agent Active Material

Use of Back Scattered Ionizing Radiation for Measurement of Thickness of the Catalytic Agent Active Material 18th World Conference on Nondestructive Testing, 16- April 1, Durban, South Africa Use of Back Scattered Ionizing Radiation for Measurement of Thickness of the Catalytic Agent Active Material Boris V.

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Proposal. Design of a Scanning Tunneling Microscope

Proposal. Design of a Scanning Tunneling Microscope Proposal Design of a Scanning Tunneling Microscope Submitted to The Engineering Honors Committee 119 Hitchcock Hall College of Engineering The Ohio State University Columbus, Ohio 43210 Abstract This proposal

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S

Inspection. Wafer Inspection Technology Challenges for ULSI Manufacturing Part II F E A T U R E S Inspection F E A T U R E S Wafer Inspection Technology Challenges for ULSI Manufacturing Part II by Stan Stokowski, Ph.D., Chief Scientist; Mehdi Vaez-Irvani, Ph.D., Principal Research Scientist Continued

More information

Characterization of field stitching in electron-beam lithography using moiré metrology

Characterization of field stitching in electron-beam lithography using moiré metrology Characterization of field stitching in electron-beam lithography using moiré metrology T. E. Murphy, a) Mark K. Mondol, and Henry I. Smith Massachusetts Institute of Technology, 60 Vassar Street, Cambridge,

More information

Scanning Electron Microscopy SEM. Warren Straszheim, PhD MARL, 23 Town Engineering

Scanning Electron Microscopy SEM. Warren Straszheim, PhD MARL, 23 Town Engineering Scanning Electron Microscopy SEM Warren Straszheim, PhD MARL, 23 Town Engineering wesaia@iastate.edu 515-294-8187 How it works Create a focused electron beam Accelerate it Scan it across the sample Map

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Soft X-Ray Silicon Photodiodes with 100% Quantum Efficiency

Soft X-Ray Silicon Photodiodes with 100% Quantum Efficiency PFC/JA-94-4 Soft X-Ray Silicon Photodiodes with 1% Quantum Efficiency K. W. Wenzel, C. K. Li, D. A. Pappas, Raj Kordel MIT Plasma Fusion Center Cambridge, Massachusetts 2139 USA March 1994 t Permanent

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

isagers. Three aicron gate spacing was

isagers. Three aicron gate spacing was LIJEAR POLY GATE CHARGE COUPLED DEVICE IMAGING ARRAYS Lucien Randazzese Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A five cask level process was used to fabricate

More information

Supporting Information

Supporting Information Copyright WILEY VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2011. Supporting Information for Small, DOI: 10.1002/smll.201101677 Contact Resistance and Megahertz Operation of Aggressively Scaled

More information

ILLUMINATION AND IMAGE PROCESSING FOR REAL-TIME CONTROL OF DIRECTED ENERGY DEPOSITION ADDITIVE MANUFACTURING

ILLUMINATION AND IMAGE PROCESSING FOR REAL-TIME CONTROL OF DIRECTED ENERGY DEPOSITION ADDITIVE MANUFACTURING Solid Freeform Fabrication 2016: Proceedings of the 26th 27th Annual International Solid Freeform Fabrication Symposium An Additive Manufacturing Conference ILLUMINATION AND IMAGE PROCESSING FOR REAL-TIME

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Microstructured Air Cavities as High-Index-Contrast Substrates with

Microstructured Air Cavities as High-Index-Contrast Substrates with Supporting Information for: Microstructured Air Cavities as High-Index-Contrast Substrates with Strong Diffraction for Light-Emitting Diodes Yoon-Jong Moon, Daeyoung Moon, Jeonghwan Jang, Jin-Young Na,

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information