Projection. optical lithography

Size: px
Start display at page:

Download "Projection. optical lithography"

Transcription

1 Projection optical lithography by Mordechai Rothschild Projection optical lithography has had a remarkable history and, most probably, it will have an equally successful future for at least another decade. To date, it has met all the major challenges posed by the semiconductor industry roadmap. In order to do so, it has undergone important transformations, and has greatly expanded the frontiers of the science and engineering of optics. This paper will review the most recent developments, including transitioning to the short wavelengths of 193 nm and 157 nm, moving toward ultrahigh numerical apertures facilitated by liquid immersion, and incorporation of a range of resolution-enhancing techniques such as optical proximity correction and phase-shifting masks. Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, MA 02420, USA rothschild@ll.mit.edu Projection optical lithography has been the mainstream technology in the semiconductor industry for more than two decades. Despite prognostications to the contrary, it has been able to keep up with the expectations of Moore s Law and the semiconductor industry s roadmap 1. In fact, it has enabled the shrinking of critical dimensions to the sub-100 nm region, and current trends will keep it on course to at least 45 nm, i.e. to at least the year It is plausible to expect that new inventions will keep optical lithography as the dominant process well into the next decade. While other lithographies may face challenges in throughput, yield, or cost, optical lithography has faced its toughest hurdles in enabling high resolution. By its very definition, optical lithography employs photons in the optical regime, and the wave nature of light dictates that diffraction limits the patterning resolution to a certain fraction of the wavelength. This appears to be a fundamental limit that cannot be overcome. In light of this argument, the continued success of optical lithography is indeed remarkable 2. This paper will focus on the means that optical lithography has employed to enable ever-increasing resolution and on the prospects to continue doing so in the future. As will be noted throughout, materials issues have played a key role in the evolution of optical lithography. The essential components of projection optical lithography are represented in Fig. 1. As in any lithography, the goal is to generate a desired pattern in a thin layer of resist on the Si wafer. In order to do so, a related pattern is 18 February 2005 ISSN: Elsevier Ltd 2005

2 illumination, and patterns on the photomask. Diffraction theory places a lower limit of 0.25 on k 1. It is important to note that in eq 1, LW is half of a dense pair of lines and spaces. This pattern geometry is the more challenging from the optics standpoint. For semi-isolated lines, i.e. when the pitch is large, LW can be made significantly smaller by incorporating special process steps with equivalent k 1 of 0.05 and less 3,4. With these considerations in mind, eq 1 identifies the directions in which optical lithography must evolve in order to keep up with the demand of reducing LW: decreasing the lithographic wavelength λ, increasing the numerical aperture NA, and decreasing the parameter k 1. All three approaches have been implemented to various degrees, and are discussed below. Fig. 1 Schematic of the optical projection system. The main components are the laser, illuminator, photomask, projection lens, and photoresist-coated wafer. formed on a photomask, and a sophisticated imaging system projects the photomask pattern onto the photoresist. Typically, the pattern on the photomask is larger than the final pattern in the photoresist, and the projection lens system provides a demagnification ratio; 4x in most systems. In addition to the photomask, the projection lens, and the photoresist, at least two other components must be included in any analysis of an optical lithographic system: the radiation source and the illumination geometry. A convenient if not completely accurate expression that describes the ultimate resolution in optical lithography is: LW = k 1 λ / NA (1) In eq 1, LW is the printed linewidth, λis the exposure wavelength, and NA is the numerical aperture of the projection lens, defined as nsinθ (n is the refractive index of the medium above the photoresist, and θ is the largest angle of converging rays subtended at the photoresist). Since the optics are usually in air, n is 1, and therefore the upper limit on NA is also 1. The parameter k 1 represents the composite effect of such disparate subsystems as photoresist response, Wavelength The choice of lithographic wavelength is primarily determined by the availability of powerful radiation sources. Until the late 1980s, optical lithography was performed with highpressure Hg discharge lamps operating at 436 nm (G line) and 365 nm (I line). Transitioning to shorter wavelengths (eq 1) was first performed with Hg discharge lamps operating at ~250 nm, but was soon replaced with more powerful and monochromatic excimer lasers. The first wave of excimerbased lithographic systems operated with krypton fluoride lasers at 248 nm. These so-called deep-ultraviolet (DUV) systems have been the backbone of the semiconductor industry for over a decade until very recently. In the beginning, they were used to print 350 nm lines and spaces, and have been employed in patterning down to 130 nm lines and spaces. However, excimer lasers encompass a whole class of discharge gases and corresponding emission wavelengths. Therefore, it is natural to explore the feasibility of lithography at 193 nm, the wavelength of argon fluoride excimers 5. The last two years have indeed witnessed the introduction into manufacturing of the first-generation 193 nm systems. These are used in patterning 90 nm lines and spaces, and are expected to provide the platform for 65 nm and even 45 nm lithography 6-9. The transition from 248 nm to 193 nm lithography has not been straightforward, however. A number of challenges had to be resolved, mostly related to materials issues. The first challenge involved the choice of material for the lens elements. At the short wavelength of 193 nm, the February

3 available transparent materials are limited to high-purity synthetic fused silica (SiO 2 ) and several crystalline fluorides, the leading candidate being CaF 2. While fused silica is preferred for practical reasons 6, it has been observed that prolonged 193 nm irradiation causes two unwelcome changes in the material 10. One is reduced transmission because of the formation of E color centers at ~ 213 nm. While this effect can be reduced through careful purification and preparation of the material 11,12, the second phenomenon has proven more troublesome. Complex photoinduced changes in material structure appear to cause birefringence, leading to quasirandom time- and space-varying changes in the state of polarization of the transmitted wave. Since the projection lens must be near-perfect and aberration-free, such laserinduced birefringence is unacceptable. The underlying mechanisms for the formation of birefringence are still not fully understood, but they involve at least two stressinducing processes: laser-induced compaction and laserinduced rarefaction 13,14. At present, it is still uncertain to what degree these processes can be reduced or eliminated. Consequently, most 193 nm lithographic systems include a number of elements made of CaF 2, especially in places where the laser intensity is highest. The short wavelength of 193 nm can induce undesired photochemistry in other materials as well. An important element in projection optical lithography is the use of thin transparent membranes or pellicles, which protect the photomask from particulate contamination. Pellicles are critical to maintaining high manufacturing yield in the semiconductor industry. They must satisfy a number of technical requirements, including photochemical stability under extended irradiation. At 193 nm, they are made of fluoropolymers, and certain variants have shown unacceptable rates of photochemical darkening. Still, several recent varieties have met most requirements 15,16. A third wavelength-related challenge has been the design of new classes of photoresists. The chemical mechanism underlying the operation of 193 nm and 248 nm photoresists is similar. It involves the photochemical generation of acids, which then catalytically deprotect the polymer host to make it soluble in aqueous base developers. The difficulty at 193 nm lies in the fact that the photoresist cannot be too thin if it is to be used in pattern transfer into underlying layers. On the other hand, the traditional 248 nm photoresists, which are based on aromatic polymers, are much too opaque at 193 nm. If they are to be used, their thickness is limited by their low transparency to impractically small values. Therefore, large efforts have been applied to the development of new, more transparent photoresist platforms at 193 nm 17. The most common resists today use acrylatebased polymers. These are transparent enough, but their plasma etch resistance is not as high as that of 248 nm photoresists. As mentioned above, the difficulties with 193 nm lithography have been largely resolved, or at least circumvented, in the last few years. It is therefore logical to investigate the next shortest excimer laser wavelength of 157 nm, that of the molecular fluorine laser 18. At this wavelength, even atmospheric oxygen is too absorptive and the optical path must be purged with an inert gas such as nitrogen or argon (the term vacuum ultraviolet (UV) is a misnomer, as there is no need for vacuum). The materials issues encountered at 157 nm are the familiar ones dealt with at 193 nm, except that they are now greatly amplified. Fused silica is eliminated from the class of transparent lens materials, and CaF 2 is the only practical option 18. CaF 2 does not undergo photoinduced compaction or rarefaction. However, since it is crystalline and not amorphous, it has an intrinsic birefringence 19. Fortunately, the intrinsic birefringence is deterministic, and can be compensated for by preparing lens elements with different crystalline orientations 20. An important practical difficulty is the relatively low yield of the growth process for high-quality, large-diameter CaF 2 crystals, such as those required in 157 nm lithographic lenses. Since fused silica is opaque at 157 nm, the traditional 6.3 mm thick transparent substrate of photomasks must also be replaced. Indeed, it has been shown that if UV-grade fused silica, which contains ~1000 ppm OH groups, is prepared instead with a certain amount of F groups, it is transparent enough to serve as a photomask substrate 21. The development of fluorine-doped fused silica has been a major achievement. It is limited, however, to photomask substrates. Wider use as a lens material is probably impractical because of the large rates of laser-induced compaction (Fig. 2) 22. The 157 nm photochemical darkening of fluoropolymers for pellicle applications has proven to be a major obstacle in developing lithography at this wavelength. The transmission of 0.8 µm thick pellicles must remain constant for hundreds 20 February 2005

4 developed where the polymer matrix is based exclusively on fluoropolymers 26. The photoacid-induced chemically amplified deprotection is still operative, but the polymer matrix has to be re-engineered 27,28. Patterning results have shown good progress with such photoresists 28,29, but more development will be required to reduce the observed line edge roughness 30,31 and to increase the plasma etch resistance The cumulative difficulties encountered by 157 nm lithography availability and cost of lens material, lack of organic pellicles, and slow progress in photoresist development have conspired to dampen the enthusiasm for this technology. The years 2003 and 2004 have witnessed a decrease in resources allocated to solving the materials challenges of 157 nm lithography, while an alternative approach, that of increasing NA, has gained momentum. Fig. 2 Laser-induced compaction in two grades of fluorine-doped fused silica, as evidenced by 633 nm stress birefringence. (a) Irradiation at 157 nm causes birefringence much more rapidly than at 193 nm. (b) Spatial mapping of 157 nm induced birefringence, showing that the highest stress occurs in a ring-shaped region surrounding the irradiated area. Numerical aperture All optical lithographic systems operate in a gaseous ambient, either air or nitrogen, whose refractive index n is very close to 1. Therefore, increasing NA has meant increasing the acceptance angle of the lens, θ. This process has had to of Joules per square centimeter. Despite all efforts to date, all candidate materials have displayed degraded transmission within <10 J/cm 2 (Fig. 3) 23. The photochemistry causing these changes is understood, in general terms, as being a result of radical formation followed by recombination 24. Still, no practical way has been found to reduce the magnitude of this effect by the required one to two orders of magnitude. Alternative approaches have been explored, including the use of inorganic pellicles. These would be relatively thick, ~0.8 mm, sheets of fluorine-doped fused silica, the same material used in photomask substrates. While the transparency requirement is satisfied with the inorganic pellicles, there are significant engineering challenges that must be overcome, such as mounting and gravitational sag. Although these issues can be resolved 25, they involve considerable effort and resources. Not surprisingly, the photoresists used at 248 nm and 193 nm are too opaque for 157 nm use 18. Indeed, any hydrocarbon-based polymer is too absorptive at this wavelength. Therefore, new photoresists have been Fig. 3 Durability test results of candidate organic pellicle materials at 157 nm. (a) A typical in situ transmission plot of a thin film (left) is converted into thicknessnormalized absorbance units and the dose sufficient to induce a 1% (or 10%) change in the transmission of a 0.8 µm thick membrane is defined as the 1% (or 10%) lifetime (right); (b) Compilation of the 10% lifetime obtained in this fashion for nearly 50 candidate materials; none reached even 10 J/cm 2, while the minimum required value is at least ten times higher. February

5 overcome significant challenges in optical design and fabrication because the lens must be near aberration-free and the image size must be kept large, ~4 mm x 26 mm. Despite these difficulties, the NA of projection systems has grown steadily, from 0.5 in around 1990 to over 0.8 in 2004, with plans to exceed 0.9 in the future 6,7. However, increasing NA in this manner has become more and more difficult with diminishing returns in resolution. A more radical approach has been gaining acceptance in the last few years, namely changing the refractive index n by 40-50% in one step. This can be achieved by replacing the gas ambient with a condensed medium, such as a transparent liquid. The concept of liquid immersion to enhance resolution is more than a century old, but until now it has been applied only to the field of microscopy and only at longer wavelengths. Work toward its implementation in lithography at 193 nm (or 157 nm, for that matter) started in earnest in Liquid-immersion lithography has not been introduced into manufacturing yet, but it is widely accepted as the next major milestone in the evolution of optical lithography. Immersion at 193 nm can be accomplished with high-purity water, whose absorption coefficient is small enough for this purpose 35. Its refractive index at nm is (measured at 21.5 C) 36, so that for a given sinθ the NA is increased by 44%, with a parallel increase in resolution (eq 1). Although the potential benefit of liquid immersion is significant 37, the concept of filling the space between the photoresist and the lens is radical enough that many engineering issues must be resolved first. Of course, new optical designs must be developed. Then, the whole subsystem of liquid dispensing and retrieval must be engineered so as to avoid the formation of bubbles or temperature and pressure gradients Furthermore, the liquid cannot impact on the performance of the photoresist, and therefore leaching of photoresist components, dissolution, swelling, and other phenomena must be monitored carefully 41,42. The liquid cannot interact with the lens either. Most optical designs use CaF 2 as the last optical element because of the relatively high fluence there (see previous section), and this material is readily attacked by water. New protective coatings must be implemented to prevent such reactions from taking place. Fig. 4indicates that, at present, such coatings are susceptible to laserinduced damage, as observed with ellipsometry 43, and therefore further improvements will be required. Finally, the yield of the lithographic process cannot be reduced by the introduction of new types, or larger numbers, of defects. It should be noted that the density of liquids is ~1000 times higher than that of atmospheric gas. Purity control of the liquid must therefore be 1000 times more stringent to prevent contamination of, or particle formation on the wafer. Despite the concerns listed above, and many more not mentioned here, all the initial experimental and simulation results indicate that 193 nm, water-immersion lithography will be relatively easy to implement. By the end of 2005, several full-field prototype systems around the world will be generating large amounts of yield data. Unless major effects are discovered by then, the first manufacturing systems may be in production by The news to date has been encouraging enough that efforts are being made to extend immersion lithography beyond water at 193 nm. New liquids are being investigated, both for higher-index 193 nm use and for 157 nm immersion 44. These activities are preliminary but, if ultimately successful, they may enable further extensions of optical lithography. Resolution-enhancing techniques Eq. 1 indicates that, in addition to changes in λ and NA, resolution can also be enhanced by reducing the k 1 factor. Indeed, k 1 has been decreasing steadily, from ~0.8 in the 1980s to less than 0.4 in the more advanced processes today. Values of 0.3 can be expected in a few years. This trend can be attributed to the introduction of aggressive forms of resolution-enhancing techniques (RETs), including off-axis Fig. 4 Spatial mapping of the ellipsometric angle of a protective coating irradiated at 193 nm in a water ambient for a total dose of 4.5 MJ/cm 2. At this stage of irradiation, no other effects on the coating are observed. This is not surprising, since the laser-induced effect is relatively small, and ellipsometry is a very sensitive technique. Nevertheless, the unmistakable change in may represent the incipient stage of more pronounced damage that may occur after longer irradiation. 22 February 2005

6 illumination, phase-shifting masks (PSMs), and optical proximity correction (OPC) 45. To obtain the highest resolution, illumination of the photomask is not performed by a disc-shaped source. Rather, the angular distribution of the illumination beam may have a complex structure, such as an annulus, a set of off-axis circles, or even a continuously varying profile 46,47. The specific illumination geometry is designed to enhance the contrast in the wafer plane of the photomask features whose dimensions are most critical 48. The introduction of off-axis illumination is coupled with complex structures on the photomask. The pattern on the photomask is no longer just an enlarged version of the desired pattern on the wafer. That approach was satisfactory when the lithographic wavelength was much smaller than the ultimate pattern. However, in the 1990s optical lithography crossed the threshold of subwavelength lithography, and the more advanced systems today print at half-wavelength dimensions 2. The wave nature of light must be included in planning a lithographic process. Complex computational models have been developed that calculate the inverse problem of diffraction. Given the desired aerial image in the image plane (the photoresist), they calculate the pattern that must exist in the object plane (the photomask). Often, the resulting pattern on the photomask offers only scant resemblance to the final image. Various serifs, elbows, and subwavelength-assist features are fabricated on the photomask, whose purpose is to counter the loss of contrast that diffraction imposes on the image (Fig. 5) 2,46. This OPC process has proven to be a powerful device in enabling Fig. 5 Schematic of OPC. The spatial-frequency effect distorts the image patterned on the photoresist by rounding sharp corner features and shortening narrow line ends. The addition of photomask correction features such as mousebites, hammerheads, serifs, and scattering bars produces an image closer to the desired result. subwavelength lithography, in effect reducing the value of the k 1 factor. The challenges facing OPC are largely in the realm of developing fast and effective computational algorithms for arbitrary geometries PSMs provide an additional lever to enhance the resolution of optical lithography. These are photomasks with structures that manipulate not only the amplitude of the transmitted waves but also their phase. Controlling the phase enables constructive or destructive interference at desired locations in the image plane, thus sharpening or dulling the contrast as desired. There are numerous variants of PSMs, each designed to provide more process latitude in printing specific features 52. Some involve the fabrication on the photomask of three-dimensional structures in transparent layers, in addition to or without absorptive patches ; while others employ semitransparent thin films which attenuate the amplitude while retarding the phase in specified ways 56,57. The challenges encountered here are numerous. They include new fabrication processes for the photomasks, new materials as absorbers or phase retarders, and the development of robust mask inspection and repair methods The cumulative effect of off-axis illumination, OPC, and PSMs has been dramatic. The k 1 factor has been halved and photoresists are routinely patterned at half-wavelength dimensions, while the lithography industry maintains its high manufacturing yield, reliability, and throughput. There has been a price to pay, however, in the dramatic increase in cost of advanced photomasks, which is directly traceable to the complexity of preparing them and the relatively low yield of the mask-making process. Many applications require a set of photomasks for a small number of wafers. For these, state-ofthe-art photomasks may become prohibitively expensive. In the future, their practitioners may explore new variants of optical lithography, including the use of templated masks 61 and even maskless lithography 62. Summary and future outlook Projection optical lithography has shown unsurpassed vitality for several decades. It has retained its leading role in the semiconductor industry, and it probably will continue doing so for at least several more years. It has adapted to new and difficult requirements, and in order to meet them it has adopted new strategies: the wavelength has been reduced into the deep, deep UV, and in the future it may extend into the vacuum UV; it is on the verge of introducing liquid February

7 immersion into the lithographic process; and it has implemented a range of illumination and imaging techniques to enhance the resolution beyond that enabled by classical optics. During the 1990s, optical lithography started patterning at subwavelength dimensions. In the near future, it will pattern at the recently unthinkable one-third wavelength, and possibly even one-fifth wavelength dimensions. This means that a combination of short wavelength, high-index immersion fluids, and aggressive RETs can satisfy the expected industry needs to at least the year 2013 (the 32 nm node). New lithographic strategies, such as multiple exposures and lithography-on-a-grid, may extend its utility even further. Optical lithography has demonstrated a unique combination of attributes that have kept its leading position in the semiconductor industry. Besides high resolution, it also provides high throughput and high yield in a costeffective manner. Alternative technologies will have to demonstrate a similar combination if they are to supplant it in the future. MT Acknowledgments I wish to thank my colleagues at MIT Lincoln Laboratory for their results and concepts presented in this paper. This work was sponsored by the Advanced Lithography Program of the Defense Advanced Research Projects Agency under Air Force Contract F C and by a Cooperative Research and Development Agreement between MIT Lincoln Laboratory and SEMATECH. Opinions, interpretations, conclusions, and recommendations are those of the author, and do not necessarily represent the view of the US Government. REFERENCES See also: Rothschild, M., et al., Lincoln Lab. J. (2003) 14, Yost, D., et al., J. Vac. Sci. Technol. B (2002) 20 (1), Fritze, M., et al., IEEE Circuits Devices Magazine (2003) 19 (1), Rothschild, M., and Ehrlich, D. J., Proc. SPIE (1988) 922, Matsuyama, T., et al., Proc. SPIE (2004) 5377, Namba, A., et al., Proc. SPIE (2004) 5377, Hsu, S.-H., et al., Proc. SPIE (2004) 5377, Fuard, D., and Schiavone, P., Proc. SPIE (2004) 5377, Rothschild, M., et al., Appl. Phys. Lett. (1989) 55 (13), Levy, D. H., et al., J. Appl. Phys. (1993) 73 (16), Smith, C. M., et al., Appl. Opt. (2000) 39 (31), Allan, D. C., et al., Proc. SPIE (2004) 5377, Algots, J. M., et al., Proc. SPIE (2004) 5377, Rothschild, M., and Sedlacek, J. H. C., Proc. SPIE (1992) 1674, Grenville, A., et al., Proc. SPIE (1998) 3236, Allen, R. D., et al., IBM J. Res. Dev. (1997) 41 (1-2), Bloomstein, T. M., et al., J. Vac. Sci. Technol. B (1998) 16 (6), Burnett, J. H., et al., Phys. Rev. B (2001) 64, (R) 20. Sewell, H., et al., J. Photopolym. Sci. Technol. (2002) 15 (4), Liberman, V., et al., J. Vac. Sci. Technol. B (1999) 17 (6), Liberman, V., et al., Laser-induced birefringence in modified fused silica: 157 nm and 193 nm irradiation, Presented at 1 st International Symposium on Immersion and 157 nm Lithography, Vancouver, BC, Grenville, A., et al., Proc. SPIE (2002) 4691, Lee, K., et al., Proc. SPIE (2004) 5377, De Bisschop, P., et al., Proc. SPIE (2004) 5377, Kunz, R. R., et al., J. Photopolym. Sci. Technol. (1999) 12 (4), Crawford, M. K., et al., Proc. SPIE (2003) 5039, Houlihan, F. M., et al., Proc. SPIE (2004) 5376, Li, W., et al., Proc. SPIE (2003) 5039, Yamazaki, T., et al., Proc. SPIE (2003) 5039, Otoguro, A., et al., Proc. SPIE (2004) 5376, Kawaguchi, Y., et al., Proc. SPIE (2003) 5039, Yamada, S., et al., Proc. SPIE (2003) 5039, Switkes, M., and Rothschild, M., J. Vac. Sci. Technol. B (2001) 19, 2353; Switkes, M., and Rothschild, M., Proc. SPIE (2002) 4691, Switkes, M., et al., Proc. SPIE (2003) 5040, Burnett, J. H. and Kaplan, S. G., J. Microlith. Microfab. Microsyst. (2004) 3 (1), Lin, B.-J., Proc. SPIE (2004) 5377, Owa, S., et al., Proc. SPIE (2004) 5377, Streefkerk, B., et al., Proc. SPIE (2004) 5377, Honda, T., et al., Proc. SPIE (2004) 5377, Hinsberg, W., et al., Proc. SPIE (2004) 5376, Kishimura, S., et al., Proc. SPIE (2004) 5376, Liberman, V., et al., Long-term 193 nm laser irradiation of thin-film-coated CaF 2 in the presence of H 2 O, Presented at 1 st International Symposium on Immersion and 157 nm Lithography, Vancouver, BC, French, R. H., et al., Structure-composition-property relations for 157 nm immersion lithography fluids, Presented at 1 st International Symposium on Immersion and 157 nm Lithography, Vancouver, BC, Wong, A. K., Resolution Enhancement Techniques in Optical Lithography, SPIE Press, Bellingham, WA (2001) 46. Fritze, M., et al., Lincoln Lab. J. (2003) 14, Heil, T., et al., Proc. SPIE (2004) 5377, Zhang, G. and Hansen, S., Proc. SPIE (2004) 5377, Cobb, N., and Granik, Y., Proc. SPIE (2004) 5377, Schacht, J., et al., Proc. SPIE (2004) 5377, Choi, S.-H., et al., Proc. SPIE (2004) 5377, Levenson, M. D., Jpn. J. Appl. Phys. (1994) 33, Conley, W., et al., Proc. SPIE (2004) 5377, Hotta, S., et al., Proc. SPIE (2004) 5377, Levenson, M. D., et al., Proc. SPIE (2004) 5377, Chang, C.-H., et al., Proc. SPIE (2004) 5377, Liberman, V., et al., Proc. SPIE (2002) 4691, Bingham, P. R., et al., Proc. SPIE (2004) 5375, Heumann, J. P., et al., Proc. SPIE (2004) 5375, Amano, T., et al., Proc. SPIE (2003) 5256, Fritze, M., et al., J. Vac. Sci. Technol. B (2001) 19 (6), Watson, G. P., et al., J. Vac. Technol. B (2004) 22 (6), February 2005

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication

Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication 3 B 2 1 Amplitude 0 l Direction -1-2 Phase Improving -3 Resolution by Wavefront Engineering -4-20 0 20 40 60 80 100 Is this our only option???

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. Gower Exitech Limited Hanborough Park, Long Hanborough, Oxford

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse

Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse Cover Page Title: Laser marking with graded contrast micro crack inside transparent material using UV ns pulse laser Authors: Futoshi MATSUI*(1,2), Masaaki ASHIHARA(1), Mitsuyasu MATSUO (1), Sakae KAWATO(2),

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM Journa' of Photopolymer Science and Technology Volume 4, Number 3 (1991) 361-369 KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM MASAYUKI ENDO, YOSHIYUKI TAM, TOSHIKI YABU, SHOZO OKADA MASARU SASAGO

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

ABC Math Student Copy. N. May ABC Math Student Copy. Physics Week 13(Sem. 2) Name. Light Chapter Summary Cont d 2

ABC Math Student Copy. N. May ABC Math Student Copy. Physics Week 13(Sem. 2) Name. Light Chapter Summary Cont d 2 Page 1 of 12 Physics Week 13(Sem. 2) Name Light Chapter Summary Cont d 2 Lens Abberation Lenses can have two types of abberation, spherical and chromic. Abberation occurs when the rays forming an image

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

End Capped High Power Assemblies

End Capped High Power Assemblies Fiberguide s end capped fiber optic assemblies allow the user to achieve higher coupled power into a fiber core by reducing the power density at the air/ silica interface, commonly the point of laser damage.

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Supporting Information: Experimental. Demonstration of Demagnifying Hyperlens

Supporting Information: Experimental. Demonstration of Demagnifying Hyperlens Supporting Information: Experimental Demonstration of Demagnifying Hyperlens Jingbo Sun, Tianboyu Xu, and Natalia M. Litchinitser* Electrical Engineering Department, University at Buffalo, The State University

More information

Available online at ScienceDirect. Energy Procedia 55 (2014 )

Available online at   ScienceDirect. Energy Procedia 55 (2014 ) Available online at www.sciencedirect.com ScienceDirect Energy Procedia 55 (2014 ) 608 617 4th International Conference on Silicon Photovoltaics, SiliconPV 2014 Measuring stress birefringence in small

More information

Symmetrically coated pellicle beam splitters for dual quarter-wave retardation in reflection and transmission

Symmetrically coated pellicle beam splitters for dual quarter-wave retardation in reflection and transmission University of New Orleans ScholarWorks@UNO Electrical Engineering Faculty Publications Department of Electrical Engineering 1-1-2002 Symmetrically coated pellicle beam splitters for dual quarter-wave retardation

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Narrowing spectral width of green LED by GMR structure to expand color mixing field

Narrowing spectral width of green LED by GMR structure to expand color mixing field Narrowing spectral width of green LED by GMR structure to expand color mixing field S. H. Tu 1, Y. C. Lee 2, C. L. Hsu 1, W. P. Lin 1, M. L. Wu 1, T. S. Yang 1, J. Y. Chang 1 1. Department of Optical and

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan > AOARD-TR-94-22 AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan 22 April 1994 S. J. Yakura AOARD The Photomask Japan '94 symposium, the first photomask

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Optical Design Forms for DUV&VUV Microlithographic Processes

Optical Design Forms for DUV&VUV Microlithographic Processes Optical Design Forms for DUV&VUV Microlithographic Processes James Webb, Julie Bentley, Paul Michaloski, Anthony Phillips, Ted Tienvieri Tropel Corporation, 60 O Connor Road, Fairport, NY 14450 USA, jwebb@tropel.com

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13 Chapter 17: Wave Optics Key Terms Wave model Ray model Diffraction Refraction Fringe spacing Diffraction grating Thin-film interference What is Light? Light is the chameleon of the physical world. Under

More information

In their earliest form, bandpass filters

In their earliest form, bandpass filters Bandpass Filters Past and Present Bandpass filters are passive optical devices that control the flow of light. They can be used either to isolate certain wavelengths or colors, or to control the wavelengths

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Optical lithography is the technique for

Optical lithography is the technique for By Chris A. Mack Snapshot: The author describes optical lithography in the context of the semiconductor industry. Past trends are evaluated and used to predict future possibilities. The economics of the

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Luminous Equivalent of Radiation

Luminous Equivalent of Radiation Intensity vs λ Luminous Equivalent of Radiation When the spectral power (p(λ) for GaP-ZnO diode has a peak at 0.69µm) is combined with the eye-sensitivity curve a peak response at 0.65µm is obtained with

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Supporting Information Nanofocusing of circularly polarized Bessel-type plasmon polaritons

More information

VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES

VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES VISUAL PHYSICS ONLINE DEPTH STUDY: ELECTRON MICROSCOPES Shortly after the experimental confirmation of the wave properties of the electron, it was suggested that the electron could be used to examine objects

More information