Advanced Technology Programs Group Radiation Hardened Microelectronics Branch Program: Overview and Status L.M. Cohn NRO/AS&T

Size: px
Start display at page:

Download "Advanced Technology Programs Group Radiation Hardened Microelectronics Branch Program: Overview and Status L.M. Cohn NRO/AS&T"

Transcription

1 UNCLASSIFIED Advanced Technology Programs Group Radiation Hardened Microelectronics Branch Program: Overview and Status L.M. Cohn NRO/AS&T Presented at the Aerospace Corporation Microelectronics Reliability and Qualification Workshop January 27-28, 2015 SUPRA ET ULTRA UNCLASSIFIED

2 Agenda Radiation Hardened Microelectronics Branch Mission Objectives Radiation Hardened Microelectronics Branch Near Term Program Mid Term Program Overview & Motivation RHBD 45nm ASIC Task Onboard Processing & Control Technology Task Analog & Mixed Signal Technology Task Radiation Testing & Characterization Trusted FPGA Far Term Program Nano-scale Microelectronics Investigation CNT Technology Advanced Power Converter Technology Development Summary 2

3 RHM Branch Mission, Objectives & Applications Mission: Develop both evolutionary and revolutionary microelectronics technologies to enhance satellite electronic systems capabilities Objectives: Onboard Processing (OBP) Enhancements: Provide flexible, heterogeneous capability to efficiently support full range of OBP requirements (MIPS to TIPS) & TB storage at reduced SWaP Provide high performance analog/mixed-signal technologies to support communications and signal processing applications Front End & Electronically Steerable Array (ESA) Enablement: Very high SFDR low power CNT FET technology for LNA, mixer and ADCs Ultra Deep Submicron Microelectronics technology for elemental digital beam-forming Applications: System survivability; Trusted, radiation hardened & high reliability Pre-planned program upgrades by providing improvements in performance, reliability & SWaP New mission capabilities 3

4 Objective: Productization & Qualification of RH Electronics Technologies (250nm to 150nm) 10X improvement in OB processing performance Tasks: RH15F Process Class V Qualification L2 Cache MCM/Synchronous SRAM Qualification 4Mb CRAM Qualification RH18/RAD MHz/400MIPS Processor Qualification 100 MHz Bridge ASSP Development RAD750/250MHz 500 MIPS processor Structured Array Development Optimized SERDES Development Advanced Packaging Development HX-5000 SEE Characterization Near Term Technology Program Completed RHBD 90nm Reliability Demonstration 4

5 Near Term Program Status: Completed Balanced design to support > 400 MIPS Throughput Satellite Front-End & Processing System L2 CACHE Memory Class Q Qualification Completed RAD MHz/400MIPS Class Q Qual Complete 250MHz/500 MIPS In development RH 16Mb (X32) SRAM Class Q Qual 4QCY12 X SERDES Test Chip BAE SYSTEMS 100MHz Bridge Chip Class Q Qual 4QCY12 RH SERDES Pass 2 Completed Class Q Qual 4QCY12 RH 4Mb NVRAM Class Q & V Quall Completed RH15 Class V Qual Complete RH15F Class V Qual Completed Structured Array Complete FPGA Replacement 5Gbps SERDES Design Complete Demo 2QCY13 5

6 Mid-Term RHM Technology Program Objective: Demonstration of technology to provide a heterogeneous, flexible OBP architecture to support full range of processing needs (MIPS to TIPS) Adaptation of Commercial Processes & IP for Space Applications >1000X in OB processing performance; > TFLOP OBP performance Demonstration of high performance AMS technology GSPS wide-band ADC/DAC Technology Development Areas: RHBD 45nm ASIC Design, Demonstration and Qualification RHBD Processor Development & Demonstration RHBD 90nm & 45nm GFLOP DSP Development RHBD 45nm Next Generation multi-core GPP Family (RAD55XX) RHBD SBC Next Generation GPP RH DDRX Radiation Effects Mitigation Assembly RHBD Next Generation Analog/Mixed-Signal Technology Development RHBD 45nm ASIC Switch Technology Development Enhanced SERDES Demonstration RHBD High Dynamic Range ADC Technology Development RHBD Next Generation FPGA Investigation 6

7 Mid-Term RHM Program Tasks 7

8 Integrated RHBD 45nm Development Strategy Commercial IP adopted for space applications and fabricated at a Trusted Foundry * * Fabricated at the Trusted IBM EFK facility 8

9 RHBD 45nm ASIC Development, Demonstration & Qualification Program Program Objectives Development, demonstration, and Class Q/V qualification of a RHBD 45nm ASIC technology. Program Tasks Radiation & Reliability Technology Assessment & Characterization Reliability assessment and remediation RHBD library development & demonstration Radiation effects modeling and simulation RHBD ASIC Design and Demonstration Design, fabrication and test of two ASICs Package development and demonstration ASIC & Technology Qualification QML Class V qualification QML Class Q ASIC qualification Design flow qualification 9

10 RHBD 45nm Technology Program Roadmap 10

11 RHBD 45nm Technology Goals and Requirements 11

12 RHBD 45nm Library Radiation Hardening and Reliability Enhancements Reliability enhancements Selective metal width modifications for electro-migration Lower Vdd (0.95 volts nominal) to limit NBTI/GOI. Enhanced design methodology (e.g., power aware placement) to reduce hot spots Power gridding segmentation to control thermal profile and power distribution Identification and avoidance of circuit topologies that may impact reliability Package/image co-design Radiation hardness enhancements RH bit cells with RAM trench capacitors Critical nodal spacing and interleaving Selective dual path logic on critical control circuits RH latches at RAM input/output Transistor sizing and other SET mitigation approaches for critical circuits Hardened registers and clock power level cells for control and critical signals Low power enhancements Additional high Vt (XVT) device/library for lower leakage Clock gating library cells and low power registers The RH45 library has been enhanced to support QML space qualification requirements 12

13 RHBD 45nm Library Overview 13

14 Library Content RH45 ASIC Library and PDK Summary Combinational Cells full family of Boolean and data path cells Clock Cells specially designed re-drive and gating functions for radiation hardness and glitch free operation Sequential Cells registers and latches; scan and SET filtering options Embedded RAMs - dual port and single port compilers; efuse for repair; low power/high performance options PLLs- programmable; low power/low jitter SERDES 8 lane macro; up to 5Gbs; multiple protocol support; power down and unidirectional features I/Os programmable LVCMOS, LVDS, SSTL(DDR2/3) Support Cells filler, antennae, ESD, delay cells, etc ASIC Product Design Kit (PDK) Accessible via BAE Systems Sharepoint Content: Four corner characterized models Black box timing/synthesis models for SRAM, IO, PLL, SERDES Verilog simulation models Floor plan and image/cover models Run Scripts and setup files for design tools and methodology flow Documentation (electrical specification, user guides) 14

15 Reliability Technology Characterization Vehicle 15

16 Technology Reliability Testing Summary (1/2) 16

17 Technology Reliability Testing Summary (2/2) 17

18 RHBD 45nm SRAM (ASIC) to Support QML V Testing and Qualification 18

19 QML Class V Qualification Test Flow 19

20 QML Class V Accelerated Life Test Results 20

21 ASIC Qualification Devices 24M eq. gates 15M eq. gates 21

22 ASIC-1Functionality Testing Status Testing Report cared: All Green! Fully Functional First Pass ASIC-1 Design Achieved The status: Electrical testing successfully completed over temperature & voltage Average yield of first 21 wafers > 40%. Flight units delivered. MIL-PRF Groups A-E testing initiated with QML qualification test completed Chip under test 22

23 ASIC-1 QML Qualification Status: Testing Completed 23

24 ASIC-1Total Ionizing Dose Radiation Test Results* * 22 parts tested IAW MIL-T-1019 to include rebound Result: RHACL= 500krd 24

25 ASIC-1 Single Event Effects Test Results 25

26 ASIC-1 Single Event Effects Test Results 26

27 Library Test Vehicle (LTV) Purpose: Comprehensive test vehicle for elemental evaluation and validation of ASIC library and custom blocks of the RAD5545 Contents: Entire Standard Cell library - every cell in the RH45 library exists as standalone test structure for functionality I/O (LVCMOS and LVDS) - test structure to test functionality and characterize Compiled and Custom RAMs - RAMs selected to cover each bit cell type and the extreme array dimensions (tallest/shortest, widest/narrowest, largest bank/smallest bank) efuse - efuse controller with BISR (built-in-self-repair) registers and RAM blocks to test full self-repair function Platform PLL - functional and SEE testing DFF chains various chains of 400 stages to ensure large enough cross-section for SEU/SET testing. Chain of each DFF function (set, reset, set/reset) for various power levels and Vts. ASIC SER test structure - synchronous logic representative of an ASIC to test SER vs. frequency Ring oscillators rings of different logic gates (eg: inv, nand, nor, and aoi) for each Vt to measure hardware to model correlation Miscellaneous - thermal sensor RAMs 8 single ports 8 dual ports 4 customs Entire Library ASIC SER experiment 165 DFF chains efuse LTV Physical Design View 15 ring oscillators Status Electrical performance & functionality verified SEE laser testing performed on selected circuits Initial SEE heavy Ion radiation test completed on 6/20/14. PLL 27

28 LTV Single Event Effects Test Results 28

29 Package Development - Completed Ceramic Column Grid Array (CCGA) package 35mm; 1144 pin; flip chip die attachment Leverages qualified CCGA design (GoldenGate Bridge ASIC) and >10 years CCGA and >30 years flip-chip production Modified substrate routing for Qualification ASICs - specific signal integrity considerations 360 pin 624 pin 1144 pin 25 mm 32mm 35 mm Ceramic Column Grid Array (CCGA) Samples Substrate Design Package vendor is NTK Development guidelines, routing rules, and other constraints levied by both ASIC subcontractors and BAE Systems Electrical Analysis Impedance for signals, loop LCRs for signal, S-parameters for select signals i.e., signals of interest such as ADC or SERDES inputs, longest and shortest paths Power plane impedance and IR drop for respective planes 144 Ceramic Column Grid Array Package Drawing and Layer Stack ASIC 1/ 2/LTV package has been released to manufacture. 29

30 RHBD 90nm/45nm Onboard Processing & Control Technology Development & Demonstration Program Program Objectives Design, development, demonstration and verification of a RH, flexible and heterogeneous architecture onboard processing capability to meet the full range of satellite payload processing and control function needs (MIPS to TIPS) Technical Approach Combines advanced commercial DSP & GPP IP with RHBD 45nm technology to achieve program objectives Develop and demonstrate: GFLOP DSP (90nm mid term& 45nm far term) Host Bridge to support TFLOP SBC capability GPP (RAD55XX) family and SBC RH REM DIMM Module 30

31 RHBD 90nm RADSPEED Single Instruction Multiple Data (SIMD) Digital Signal Processor The RADSPEED DSP is a radiation hardened variant of the CSX700 digital signal processor (DSP) from ClearSpeed Technology RADSPEED DSP 90nm 160 ( spare) processing elements (PE) in two multi-threaded array processors (MTAP) Throughput: W power Each PE incorporates double precision floating point hardware as well as integer processing Single instruction, multiple data (SIMD) architecture Dual ClearConnect bridges (CCBR) Each with ~ 30 Gb/s throughput Supports direct connection between DSPs or to a backplane using a bridge Dual DDR2 DRAM interfaces A DDR2 interface is dedicated to each MTAP, avoiding bottlenecks Throughput: ~30 Gb/s each Supported by mature commercial software development kit Software prototyping hardware available now The RADSPEED DSP has successfully completed electrical & functionality characterization, and radiation testing. Transition to RHBD 45nm TBD 31

32 Software Development Environment Software Development platform is available for customers to start RADSPEED DSP algorithm development Open-VPN to workstation (allows external customer access) User s guide for configuration of ClearSpeed processors to mimic RADSPEED DSPs Hardware platform contains: 2 ClearSpeed e710 cards in Dell workstation Each contains a CSX700 processor and local memory Cn compiler ClearSpeed multicore compiler SDK including MATLAB libraries Graphical profiler Graphical debugger Two organizations have used the development environment RADSPEED DSP SDK was developed for use on Phase B2 and beyond: Optimized version of Cn compiler/sdk for RADSPEED DSP configuration 32

33 RHBD Host Bridge & RAD55XX Family Embedded 4-core e5500 processor Custom I/O For GFLOP Single Core w/o High Speed Transfer Host Bridge srio Manager 20-Lanes SERDES Real Time DeBug Off-the-Shelf Space qualified solutions for processing & control applications Prototype Demonstration 2Q

34 RAD5545 System-on-Chip Multi-core Processor Status: Design > 95% Complete Fabrication scheduled for 2QCY15 34

35 RAD55XX Software Support 35

36 RAD55XX Prototyping & Software Development Support 36

37 RHBD Analog and Mixed-Signal Technology Development & Demonstration Program Program Objectives Design, development, and demonstration RH analog and mixed-signal technologies to support onboard data transfer, signal conditioning and conversing applications. Technical Approach Adoption of advanced commercial network switching and analog-to digital/digital- to- analog (ADC/DAC) for space applications. Development and demonstration tasks: RHBD Packet and Cross-Point Switch demonstration End-Point ASIC Demonstration High Dynamic Range (interleaved) ADC Development Enhanced SERDES Demonstration 37

38 RHBD 45nm Switch/Interface Development Efforts Packet Switch Common Processor Flexible Interface or End- Point Switch Protocol Independent or Cross-Point Switch Packet Switch Demonstrations 2Q

39 High Dynamic Range (HDR) ADC Technology Development RHBD 32nm HDR ADC inter-leaved design approach advances the stateof-the-art RHBD 32nm technology required to achieve instantaneous bandwidth; jitter control and insertion of adaptive calibration circuits 39

40 RHBD 45nm Enhanced SERDES Demonstration Initial program goals 40

41 RHM Branch Technology Development Support Efforts NASA & NRL Radiation Effects Testing & Characterization SEE Laser NRL Conventional radiation effects testing Cyclotron Heavy Ion Texas A&M NRL Laser Test Facility 41

42 Objective: Demonstration of Revolutionary and State of-the-art Evolutionary technologies to provide a paradigm shift in on-board processing. Demonstration of: CNT high Spurious Dynamic Range (SFDR) with very low power Mixers and Low Noise Amplifiers (LNA) CNT Non-volatile Memory RHBD 14nm ASIC technology Technology Development Areas: High SFDR Mixers & LNAs using CNT Linear field Effect Transistor Development CNT Memory Technology Development < RHBD 14nm CMOS Technology Development Radiation Effects Reliability Characterization Far-Term Technology Program Radiation & Reliability Effects Modeling and Simulation RHBD ASIC Library Development and Demonstration 3-D Packaging 42

43 CNT Technology Program 43

44 Program Objectives: RHM Branch Nano-scale ( < 32nm) Technology Development Efforts Investigate < 32nm* technologies for potential DoD/IC space & other system applications (e.g., SWaP-C, radiation, reliability, cost, Trust) Develop & demonstrate RHBD & reliability mitigation approaches Develop & demonstrate an ASIC library & design flow Identify & adopt selected commercial IP Productization & qualification * Initial efforts focused on 14nm with anticipated transfer to ~ 7nm as it becomes available Initial Program Tasks: VU: Investigate & characterize radiation effects and develop mitigation approaches for ~14nm technology BAE: Investigate and characterize reliability degradation modes in ~14nm technologies Boeing: Investigate and characterize combined radiation & reliability degradation modes in ~14nm technologies Program Schedule: T&E TCV/ SEC Library Demo ASIC Demo P&Q FY14 FY15 FY16 FY17 FY18 FY19 FY20 44

45 Advanced Point-of-Load Converter Technology Advanced POL technology needed to support insertion of scaled electronics Poor < 1v Large footprint Does not support MIL temperature range, susceptible to radiation effects Several ongoing efforts to provide POL technology for various applications with focus on digital control 45

46 Summary The ATPG RHM Program is supporting the development of both evolutionary and revolutionary technologies to enhance DoD and IC satellite system performance & reduce SWaP. Near Term Program: Completed P&Q efforts for a variety of 250nm to 150nm devices and technologies to enhance OBP; e.g., > 10X increase in performance compared to current capabilities (RAD6000) Mid-Term Program: Exploitation of < 45 nm technology to address the full range of OBP applications from MIPS to TIPS and wide band very high performance ADC/DAC applications to include: RHBD 45nm ASIC design, demonstration and qualification Analog/Mixed-Signal technology: High speed data switch family Gsps performance the 32nm technology node General Purpose Processor and Digital Signal Processor design and demonstrations with from > 10X to 1000X increased performance compared to RAD750 processor. Far-term Program: Next Generation microelectronics; e.g., RHBD 14nm technology Novel approaches (e.g., near threshold operation) to achieve significant SwaP savings 3D/2.5 D packaging Developing CNT technology for mixed signal and linear circuit applications Additionally, advanced Digital Point-of-Load converter technology is in development Applications for these technologies have been identified by a number of flight and technology development programs 46

47 Contact Information Lew Cohn (O) Mil 47

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS-Q-HB-60-02 HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105 OUTLINE Scope and goals

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS AMICSA 2016 FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS F. MALOU, C. AMIOT-BAZILE (CNES), P. VOISIN (TAS) 15th June, 2016 1 Outline FAST project

More information

AT697 LEON2-FT FLIGHT MODELS

AT697 LEON2-FT FLIGHT MODELS AT697 LEON2-FT FLIGHT MODELS March 7, 2007 Prepared by Nicolas RENAUD Aerospace µprocessors & Radiation Effects Marketing Atmel ASIC Business Unit For LEON2 FT prototypes: CONTRACTS ESA contract n 15036/01/NL/FM

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

Next Generation DSP Roadmap and related ESA activities

Next Generation DSP Roadmap and related ESA activities Next Generation DSP Roadmap and related ESA activities ADCSS09, ESTEC, the Netherlands Session 3: New Development & Investigation Areas R. Trautner Onboard Payload Data Processing section (TEC-EDP) ESA/ESTEC

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Nonlinear Equalization Processor IC for Wideband Receivers and

Nonlinear Equalization Processor IC for Wideband Receivers and Nonlinear Equalization Processor IC for Wideband Receivers and Sensors William S. Song, Joshua I. Kramer, James R. Mann, Karen M. Gettings, Gil M. Raz, Joel I. Goodman, Benjamin A. Miller, Matthew Herman,

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY Geert Thys (1), Steven Redant (1), Eldert Geukens (2), Yves Geerts (2), M.Fossion (3), M. Melotte (3) (1) Imec, Kapeldreef 75, 3001 Leuven, Belgium

More information

IRIS3 Visual Monitoring Camera on a chip

IRIS3 Visual Monitoring Camera on a chip IRIS3 Visual Monitoring Camera on a chip ESTEC contract 13716/99/NL/FM(SC) G.Meynants, J.Bogaerts, W.Ogiers FillFactory, Mechelen (B) T.Cronje, T.Torfs, C.Van Hoof IMEC, Leuven (B) Microelectronics Presentation

More information

1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs

1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs Agenda 1. REDSAT ASICs 2. Cosmic Vision Instrumentation ASICs Francisco Gutiérrez Enrique Martínez DARE Users Meeting, ESA /ESTEC Noordwijk NL Feb-15-2011 The REDSAT ASICs Why we selected DARE Direct Radiating

More information

RF and Microwave Test and Design Roadshow Cape Town & Midrand

RF and Microwave Test and Design Roadshow Cape Town & Midrand RF and Microwave Test and Design Roadshow Cape Town & Midrand Advanced PXI Technologies Signal Recording, FPGA s, and Synchronization Philip Ehlers Outline Introduction to the PXI Architecture PXI Data

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

NGMP GR740. Status and Roadmap Vision for Future. Roland Weigand European Space Agency. Microelectronics Section

NGMP GR740. Status and Roadmap Vision for Future. Roland Weigand European Space Agency. Microelectronics Section NGMP GR740 Status and Roadmap Vision for Future Roland Weigand European Space Agency Microelectronics Section Microelectronics Section ESA UNCLASSIFIED For Official Use (1) 06. Nov. 2014 History of ESA

More information

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM;

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; SSC08-XI-5 Affordable Rad-Hard An Impossible Dream? David R. Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; 505-269-3895 Ken Hunt, Marc Owens, James Lyke Air Force Research Laboratory

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

FLEXIBLE RADIO FREQUENCY HARDWARE FOR A SOFTWARE DEFINABLE CHANNEL EMULATOR

FLEXIBLE RADIO FREQUENCY HARDWARE FOR A SOFTWARE DEFINABLE CHANNEL EMULATOR FLEXIBLE RADIO FREQUENCY HARDWARE FOR A SOFTWARE DEFINABLE CHANNEL EMULATOR Robert Langwieser 1, Michael Fischer 1, Arpad L. Scholtz 1, Markus Rupp 1, Gerhard Humer 2 1 Vienna University of Technology,

More information

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Lawrence Berkeley National Laboratory M. Battaglia, L. Glesener (UC Berkeley & LBNL), D. Bisello, P. Giubilato (LBNL & INFN Padova), P.

More information

ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS

ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS ESA S ROADMAP FOR NEXT GENERATION PAYLOAD DATA PROCESSORS R. Trautner (1) (1) TEC-EDP, ESA/ESTEC, Keplerlaan 1, 2200AG Noordwijk, The Netherlands Email: Roland.Trautner@esa.int ABSTRACT A new generation

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

Digital Design: An Embedded Systems Approach Using VHDL

Digital Design: An Embedded Systems Approach Using VHDL Digital Design: An Embedded Systems Approach Using Chapter 6 Implementation Fabrics Portions of this work are from the book, Digital Design: An Embedded Systems Approach Using, by Peter J. Ashenden, published

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Power Management in modern-day SoC

Power Management in modern-day SoC Power Management in modern-day SoC C.P. Ravikumar Texas Instruments, India C.P. Ravikumar, IIT Madras 1 Agenda o Motivation o Power Management in the Signal Chain o Low-Power Design Flow Technological

More information

Digital Integrated Circuits Perspectives. Administrivia

Digital Integrated Circuits Perspectives. Administrivia Lecture 30 Perspectives Administrivia Final on Friday December 14, 2001 8 am Location: 180 Tan Hall Topics all what was covered in class. Review Session - TBA Lab and hw scores to be posted on the web

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs)

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Véronique Ferlet-Cavrois ESA/ESTEC Acknowledgements to Ali Mohammadzadeh, Christian Poivey, Marc Poizat, Fredrick Sturesson ESA/ESTEC,

More information

Extended TID, ELDRS and SEE Hardening and Testing on Mixed Signal Telemetry LX7730 Controller

Extended TID, ELDRS and SEE Hardening and Testing on Mixed Signal Telemetry LX7730 Controller Extended TID, ELDRS and SEE Hardening and Testing on Mixed Signal Telemetry LX7730 Controller Mathieu Sureau, Member IEEE, Russell Stevens, Member IEEE, Marco Leuenberger, Member IEEE, Nadia Rezzak, Member

More information

Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments. Chuck Tabbert

Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments. Chuck Tabbert Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chuck Tabbert ctabbert@ultracomm-inc.com (505) 823-1293 Agenda Corporate Overview Motivation Background Technology Wide Temperature

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Integrating Additional Functionality with APS Sensors

Integrating Additional Functionality with APS Sensors Integrating Additional Functionality with APS Sensors Microelectronics Presentation Days ESA/ESTEC 8 th March 2007 Werner Ogiers (fwo [at] cypress.com) Cypress Semiconductor (Formerly Fillfactory B.V)

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

SPACE-QUALIFIED 1.25GB/S NANO-TECHNOLOGICAL TRANSPONDER FOR SPACE WIRE OPTICAL/ELECTRICAL INTERCONNECTS

SPACE-QUALIFIED 1.25GB/S NANO-TECHNOLOGICAL TRANSPONDER FOR SPACE WIRE OPTICAL/ELECTRICAL INTERCONNECTS Space-Qualified 1.25Gb/s Nano-Technological Transponder for SpaceWire Optical/Electrical Interconnects SPACE-QUALIFIED 1.25GB/S NANO-TECHNOLOGICAL TRANSPONDER FOR SPACE WIRE OPTICAL/ELECTRICAL INTERCONNECTS

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

What s Behind 5G Wireless Communications?

What s Behind 5G Wireless Communications? What s Behind 5G Wireless Communications? Marc Barberis 2015 The MathWorks, Inc. 1 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile Broadband IoT

More information

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC 1 Techn Session XX: TECHNICAL SESSION NAME IAA-XX-14-0S-0P Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC Leonardo Medeiros *, Carlos Alberto Zaffari

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Prototyping Unit for Modelbased Applications

Prototyping Unit for Modelbased Applications PUMA Software and hardware at the highest level Prototyping Unit for Modelbased Applications With PUMA, we offer a compact and universal Rapid-Control-Prototyping-Platform optionally with integrated power

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Agenda Motivation A New Paradigm Dial Technology Chip Architecture Measured Results Sensor Reference Design 2 Deploying Billions

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

QPLL a Quartz Crystal Based PLL for Jitter Filtering Applications in LHC

QPLL a Quartz Crystal Based PLL for Jitter Filtering Applications in LHC QPLL a Quartz Crystal Based PLL for Jitter Filtering Applications in LHC Paulo Moreira and Alessandro Marchioro CERN-EP/MIC, Geneva Switzerland 9th Workshop on Electronics for LHC Experiments 29 September

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Low-Power Communications and Neural Spike Sorting

Low-Power Communications and Neural Spike Sorting CASPER Workshop 2010 Low-Power Communications and Neural Spike Sorting CASPER Tools in Front-to-Back DSP ASIC Development Henry Chen henryic@ee.ucla.edu August, 2010 Introduction Parallel Data Architectures

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

FPGA Design Process Checklist

FPGA Design Process Checklist FPGA Design Process Checklist Martin Fraeman Pete Eisenreich JHU/APL Laurel, MD 9/6/04 MAPLD 2004 1 Checklist Motivation Develop a process to consistently design FPGAs for space applications Useful to

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

ADQ108. Datasheet. Features. Introduction. Applications. Software support. ADQ Development Kit. Ordering information

ADQ108. Datasheet. Features. Introduction. Applications. Software support. ADQ Development Kit. Ordering information ADQ18 is a single channel high speed digitizer in the ADQ V6 Digitizer family. The ADQ18 has an outstanding combination of dynamic range and unique bandwidth, which enables demanding measurements such

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective Institute of Integrated Sensor Systems Dept. of Electrical Engineering and Information Technology Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions

Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions NASA Battery Power Workshop 11/27/07 11/29/07 Managing the Health and Safety of Li-Ion Batteries using a Battery Electronics Unit (BEU) for Space Missions George Altemose Aeroflex Plainview, Inc. www.aeroflex.com/beu

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18 ISSUE : -/2 PAGE : 1 /18 Executive Summary Written by Responsibility-Company Date Signature Project team Alcatel Space and Imec Verified by Emmanuel Liegeon ASIC Design Engineer - Study responsible Approved

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information