A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

Size: px
Start display at page:

Download "A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI"

Transcription

1 A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI G. Steffan 1, E. Depaoli 1, E. Monaco 1, N. Sabatino 1, W. Audoglio 1, A. A. Rossi 1, S. Erba 1, M. Bassi 2, A. Mazzanti 2 1 STMicroelectronics, Pavia, Italy 2 Università degli Studi di Pavia, Pavia, Italy 1 of 31

2 Outline Motivation Proposed TX Architecture Reconfigurable FFE Output Driver High-Speed Serializer Clock Generation Measurement Results Conclusions 2 of 31

3 Exabytes per Month 72,5 Network Traffic: Growth and Challenges 88,7 108,5 132,1 160,6 194,4 200 [Cisco, The Zettabyte Era: Trends and Analysis] [OIF-FD-Client-400G/1T-01.0 White Paper] x Challenges Gate count increases faster than I/O speed Power dissipation, rather than technology and routing, mostly limits max I/O density Increasing data rate at > 25Gb/s increases link losses and power consumption PAM-4 Modulation Helps maintain loss budget by decreasing Nyquist frequency SNR degradation can be recovered by using FEC 3 of 31

4 High-Speed PAM-4/NRZ TX Design PAM-4 High output amplitude and linearity to preserve SNR and H/V opening PAM-4 Very high bandwidth to speed-up nonadjacent level transitions PAM-4/NRZ Precise and reliable serialization with low power Challenges PAM-4/NRZ Reconfigurable FFE to be compliant with several standards PAM-4/NRZ PAM-4/NRZ high/low speed modes for auto-negotiation and substitution of legacy components 4 of 31

5 TX Block Diagram V dd,cmos FFE L LSB 40b 40:8 8b C -2 C -1 C 1 C 2 5x8b C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 4x8b 4x4b M L FFEM MSB 40b 40:8 8b C -2 C -1 C 1 C 2 5x8b C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 4x8b 4x4b M M Shift-registers delay 8bit bundles and generate five C [-2:2] FFE data-streams MUXs M M and M L enable C [-2:2] selection In PAM-4 mode, up to 4 FFE taps In NRZ mode, 40b LSB/MSB data is merged, but M M and M L can still be operated independently to provide up to 5 FFE taps 5 of 31

6 TX Block Diagram V dd,cmos FFE L V dd,dr 24 LSB 40b MSB 40b 40:8 40:8 8b 8b C -2 C -1 C 1 C 2 C -2 C -1 C 1 C 2 5x8b M L 5x8b C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 4x8b 4x8b FFEM 4x4b 4x4b Output Network M M Output driver is composed of 72 elements 24 driver elements are driven by LSB data, 48 by MSB data Dedicated voltage supply V dd,dr =1.2V 6 of 31

7 TX Block Diagram V dd,cmos FFE L V dd,dr 24 LSB 40b MSB 40b 40:8 40:8 8b 8b C -2 C -1 C 1 C 2 C -2 C -1 C 1 C 2 5x8b M L 5x8b C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 4x8b 4x8b FFEM 4x4b 4x4b Output Network M M 4/5 2 REF CK PLL 2-8GHz I/Q Generation CK4-I CK4-Q PLL generates 2-8GHz clock signal High precision I/Q signals generator feeds the 40:1 serializer 7 of 31

8 Reconfigurable TX FFE LSB MSB C 2 C 1 C 2 C -1 C 1 C -1 C -2 C -2 C 2 C 1 C 2 C 1 FFE L C -2 C -1 C -2 C -1 C 1 C 2 C 1 C 2 C -2 C -1 C -2 C Output Network Coefficients Minimum Normalized Amplitude PAM-4 FS NRZ FS NRZ HS NRZ QS 2-PRE 1-PRE MAIN 1-POST 2-POST -21/72-3/24-21/72-21/72 12/24 36/72 36/72-9/24-36/72-36/72-9/24-36/72 45/72-27/72 At Full-Speed, it provides up to 4 FFE tap in PAM-4 mode and 5 tap in NRZ mode, meeting OIF CEI 56Gb/s MR and 28Gb/s KP4 standards At Half-Speed, data is oversampled and [C -2,C 2 ] are mapped as 1- Pre/Post cursor, respectively, meeting 10Gb/s KR10 and 8.5Gb/s PCI Exp-3 C -1 C -1 C -2 C -2 C 1 C 2 C 1 C At Quarter-Speed, C 2 is mapped as 1-Postcursor while C -2:1 are all set to the Main cursor. This configurations is compliant with 2.5Gb/s PCI-Exp1 FFE M 8 of 31

9 State-of-the-art PAM-4 Output Drivers [Bassi et al., ISSCC 2016, JSSC 2017] [Nazemi et al., ISSCC 2016] Hybrid voltage/current driver Very good linearity and high output amplitude with 1V supply Bandwidth limited by increased load Low FFE programmability Pure current mode driver Simple implementation, high bandwidth Two supply domain and need of level shifter operating at output symbol rate High FFE programmability 9 of 31

10 Proposed Current Mode Driver V dd,dr V dd,dr V dd,dr V ref Out P Out N <72> <72> <1> V bias M C1 M C2 V dd,cmos V dd,cmos V dd,cmos <1> In N In P In N and In P CMOS-level input data streams from serializer Gate voltages of M C1,2 current sources are constant, set by replica bias based on desired output swing V ref When output node is high, M C1,2 source is pulled to V dd,cmos, relaxing reliability constraints and allowing the use of thin oxide devices High output swing with good linearity and large bandwidth 10 of 31

11 Output Network Return Loss [db] TF [db] Out P Coil #1 Coil #1 Out N 0 ESD Resistor Load Bank ESD -3 Coil #2 Driver Coil #2 Coil #1 Coil #2-6 With Coils Without Coils C LOAD C DRIVER C ESD C BUMP 200V MM / 500V CDM, >>2kV HBM ESDs Frequency [GHz] 0 Driver capacitance is comparable with ESD capacitance Double T-coil network enhances bandwidth by 1.5 and improves impedance matching at high frequency With Coils Without Coils Frequency [GHz] 11 of 31

12 High-Speed Serializer Architectures t DIV /2 CK2 CK4-I CK4-Q t PULSE SEL<3:0> FF CK4-I D1 FF CK4-Q D2 FF CK4-I D3 FF CK4-Q CK4-I CK4-Q D0 4:2 t MUX B0 B1 t D 2:1 OUT C PAR t MUX FF D0 CK4-I FF D1 CK4-Q FF D2 CK4-I FF D3 CK4-Q SEL<0> SEL<1> SEL<2> SEL<3> OUT 2xC PAR Half-rate architecture Quarter-rate architecture t BIT > t Setup + t MUX + t DIV t D Low C PAR load of half-rate architecture leads to very fast commutations t BIT > t Setup + t MUX t PULSE Higher C PAR load of quarter-rate architecture leads to increased ISI Propagating clock forward relaxes serializer timing constraints Low load highly desirable to limit ISI 12 of 31

13 Proposed MUX Architecture CK4-I CK4-Q X2 CK2 CK4-I t MULT CK4-Q t MULT t MUX t Setup D0 D1 D2 D3 t MUX B0 4:2 2:1 B1 OUT B0 B1 CK2 OUT b0 b2 b1 b3 b0 b1 b2 b3 Quarter-rate architecture to enhance speed and lower ISI Local X2 clock multiplier to save power Forward propagated delay implemented with X2 allows relaxed timing constraints: t BIT > t Setup + t MUX t MULT 13 of 31

14 Proposed MUX Architecture Jitter Pk-Pk [ps] CK4-I CK4-Q D0 D1 D2 D3 D0/D1 D2/D3 t MUX X2 t MULT B0 B1 CK2 4:2 2:1 LAT B0/B1 OUT CK4-I P/N CK4-Q P/P CK4-I N/P CK4-Q N/N B0 CK2 P B1 CK2 N X2 CK2 P/N 2:1 OUT Traditional Mux 14 Proposed Mux Symbol Rate[Gsym/s] CK4-I/CK4-Q 4:2 MUX 4:2 based on pass-gate to save power and guarantee t MUX > t MULT to respect hold-time constraints NAND-based frequency doubler generates half rate clock for the last 2:1 MUX At 32 Gsym/s the Pk-Pk jitter on output node is reduce by 1.3 compared to a traditional direct MUX 14 of 31

15 Effects of I/Q Mismatches CK-I P/N CK-Q P/P CK4-I 2UI Δ 2UI CK-I N/P CK2 P/N CK4-Q 2UI CK-Q N/N CK2 1UI-Δ 1UI+Δ 1UI-Δ 1UI+Δ Δ=5.6º Δ<1.4º 1.12UI 0.88UI 1UI 1UI I/Q mismatches on quarter-rate clocks creates DCD on half-rate clock I/Q phase difference must be lower than of 31

16 Effects of I/Q Duty-Cycle Distortion CK-I P/N CK-Q P/P CK4-I 2UI-Δ 2UI+Δ CK-I N/P CK2 P/N CK4-Q 2UI CK-Q N/N CK2 1UI 1UI-Δ 1UI+Δ 1UI Δ=0.11UI Δ<0.01UI 1UI 0.89UI 1.11UI 1UI 1UI 1UI 1UI 1UI DCD on quarter-rate I/Q clocks translates to DCD on half-rate clocks with period of 4UI Generation of precise I/Q quarter-rate clocks is key, especially at high-speed 16 of 31

17 Clock Generation Tree Integer-N PLL REF CK Bandgap Regulator PFD CP LPF 6-8GHz 4-6GHz /1 /2 Locking Signal Vtune Phase Rotator CML to CMOS CML to CMOS Duty-Cycle Correction DCC-I DCC-Q CK4-I CK4-Q /N Injection Locked Ring Oscillator Integer-N type PLL with two VCOs and output divider to generate 2-8GHz master clock Injection-Locking Ring Oscillator provides high-accuracy 8 phases against PVTs Phase rotators interpolate 8 π/4-spaced phases to improve DNL and INL Quarter-rate clocks fed to serializer after DCC circuit 17 of 31

18 Locking Signal Frequency Code register preset up down Injection Locked Ring Oscillator logic Vtune clk LF Digital Loop v TH v TL Analog Loop Quadrature phase error [ ] Quadrature phase error [ ] a Supply voltage [V] 8 b 4 0 No calibration Analog calibration ON Analog + digital calibration f IN =8GHz -4 No calibration Analog calibration ON Analog + digital calibration Temperature [ C] Buffer [Anzalone et al., ESSCIRC 2016] A phase detector based on passive mixers measures the quadrature error and continuously tunes the oscillator Vtune for fine phase correction Concurrently, a window comparator monitors Vtune and drives digital coarse calibration in background. The quadrature phase error is kept lower than 1.5º when supply and temperature variations are between [0.9V, 1.2V] and [-40ºC, 120ºC] 18 of 31

19 Phase Rotator 1 f IN =11GHz from ext 0.5 j 1=135º,j 2=180º j 1=90º,j 2=135º DNL [LSB] <0> <15> ` ` j 1=45º,j 2=90º j 1=0º,j 2=45º <15> <0> ` ` INL [LSB] j 1P j 1N j 2P j 2N Code = 2GHz with AQC = 11GHz with AQC = 11GHz without AQC Phase Rotators consists of four slices driven by the ILRO outputs Each slice consists of 32 differential pair thermometric weighted to reduce switching glitches and guarantee the monotonicity of the output phase At 11GHz, the maximum DNL and INL are 0.5 and 1 LSB, respectively 19 of 31

20 DCD Correction Circuit [%] 2 SELP<6:0> P,N IN P,N SELN<6:0> P,N OUT N,P CODE PMOS and NMOS switches operates independently Two 7 bit thermometric code to avoid glitches and guarantee the monotonicity of the correction DCD correction circuit capability equal to ±1.5% at 8GHz 20 of 31

21 Chip Photo and Power Break-Down Output Driver 16% LF Serializer 8% Transmitter Clock Gen. 31% HF Serializer 45% PLL Power Consumption: 64Gb/s V dd,cmos =1V V dd,dr =1.2V 10ML CMOS 28nm FDSOI CMOS from STMicroelectronics Chips encapsulated in flip-chip BGA packages 21 of 31

22 Insertion Gain [db] Agilent DCA-X 86100D Test Board Measurement Setup Pkg&Board Insertion Gain [db] Frequency [GHz] Ref Clock Package and trace board loss at 16GHz is 2.5dB Connectors and cable add about two more db of loss Total loss at 16GHz equal to 4.5dB 22 of 31

23 Output Eyes at 28/56 Gb/s 28Gb/s 56Gb/s 0.84UI 0.73V 0.48UI 0.18V FIR setting: [C -1 C 1 ]=[-1/24 18/24-3/24] Vertical opening: 0.73V Horizontal opening: 0.84UI FIR setting: [C -1 C 1 ]=[-1/24 18/24-3/24] Vertical opening: 0.18V Horizontal opening: 0.48UI 23 of 31

24 Output Eyes at 32/64 Gb/s 32Gb/s 64Gb/s 0.75UI 0.6V 0.36UI 0.14V FIR setting: [C -1 C 1 ]=[-1/24 18/24-3/24] Vertical opening: 0.6V Horizontal opening: 0.75UI FIR setting: [C -1 C 1 ]=[-1/24 18/24-3/24] Vertical opening: 0.14V Horizontal opening: 0.36UI 24 of 31

25 S22 and PLL Phase Noise Return loss better than the mask limit with margin Jitter of the clock is estimated by integrating phase noise starting from 500kHz offset The random jitter integrated up to 8GHz is 290fs 25 of 31

26 Comparison with State of Art 26 of 31

27 Conclusions Delivering high TX amplitude while preserving linearity and large bandwidth is key for high-speed PAM-4 transmitters A new output driver allows high swing and good linearity with increased supply while still employing thin-oxide devices operated reliably A smart FFE structure is proposed for back-compatibility with legacy standards Measurements test chips realized in 28nm CMOS FDSOI technology by STMicroelectronics prove the effectiveness of the proposed TX 27 of 31

28 Acknowledgement The authors are thankful to Dr. Guido Albasini, Daniele Baldi and Dr. Davide Sanzogni and the layout team for their contributions 28 of 31

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS E. Mammei, F. Loi, F. Radice*, A. Dati*, M. Bruccoleri*, M. Bassi, A. Mazzanti

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

A Low-Noise Programmable-Gain Amplifier for 25Gb/s Multi-Mode Fiber Receivers in 28 nm CMOS FDSOI

A Low-Noise Programmable-Gain Amplifier for 25Gb/s Multi-Mode Fiber Receivers in 28 nm CMOS FDSOI A Low-Noise Programmable-Gain Amplifier for 25Gb/s Multi-Mode Fiber Receivers in 28 nm CMOS FDSOI F. Radice 1, M. Bruccoleri 1, E. Mammei 2, M. Bassi 3, A. Mazzanti 3 1 STMicroelectronics, Cornaredo, Italy

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. 4. A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung,

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

BLOCK DIAGRAM. Functionality Table 1 details the differences between the parts to assist designers in selecting the optimal part for their design.

BLOCK DIAGRAM. Functionality Table 1 details the differences between the parts to assist designers in selecting the optimal part for their design. FEATURES LVPECL Outputs Optimized for Very Low Phase Noise (-165dBc/Hz) Up to 800MHz Bandwidth Selectable 1, 2 Output Selectable Enable Logic 3.0V to 3.6V Operation RoHS Compliant Pb Free Packages BLOCK

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

HMC853LC3. High Speed Logic - SMT. 28 Gbps, D-TYPE FLIP-FLOP. Typical Applications. Features. Functional Diagram. General Description

HMC853LC3. High Speed Logic - SMT. 28 Gbps, D-TYPE FLIP-FLOP. Typical Applications. Features. Functional Diagram. General Description Typical Applications Features The is ideal for: RF ATE Applications Broadband Test & Measurement Serial Data Transmission up to 28 Gbps Digital Logic Systems up to 28 GHz Functional Diagram Differential

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.3 ISSCC 2003 / SESSION 10 / HIGH SPEE BUILING BLOCKS / PAPER 10.3 10.3 A 2.5 to 10GHz Clock Multiplier Unit with 0.22ps RMS Jitter in a 0.18µm CMOS Technology Remco C.H. van de Beek 1, Cicero S. Vaucher

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Chapter 2 Architectures for Frequency Synthesizers

Chapter 2 Architectures for Frequency Synthesizers Chapter 2 Architectures for Frequency Synthesizers 2.1 Overview This chapter starts with an overview of the conventional frequency synthesis techniques as well as the hybrid architectures that can be used

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

12 Bit 1.2 GS/s 4:1 MUXDAC

12 Bit 1.2 GS/s 4:1 MUXDAC RDA012M4 12 Bit 1.2 GS/s 4:1 MUXDAC Features 12 Bit Resolution 1.2 GS/s Sampling Rate 4:1 or 2:1 Input Multiplexer Differential Analog Output Input code format: Offset Binary Output Swing: 600 mv with

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

CTSLV310 Ultra-Low Phase Noise LVPECL, LVDS Buffer and Translator SON8, MSOP8

CTSLV310 Ultra-Low Phase Noise LVPECL, LVDS Buffer and Translator SON8, MSOP8 Features 2.5-3.3 Operation Ultra-Low Phase Noise Floor LPECL -167dBc/Hz LDS -165dBc/Hz Configurable LPECL or LDS Output 1 or 2 Enable Active High or Low 1GHz+ Bandwidth RoHS Compliant Pb Free Packages

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany Low Power Consideration in Transceiver Design for Internet of

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication by A K M Delwar Hossain A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Integrated

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

FUNCTIONAL BLOCK DIAGRAM DIGITAL VIDEO ENGINE

FUNCTIONAL BLOCK DIAGRAM DIGITAL VIDEO ENGINE FEATURES CMOS DUAL CHANNEL 10bit 40MHz DAC LOW POWER DISSIPATION: 180mW(+3V) DIFFERENTIAL NONLINEARITY ERROR: 0.5LSB SIGNAL-to-NOISE RATIO: 59dB SPURIOUS-FREE DYNAMIC RANGE:69dB BUILD-IN DIGITAL ENGINE

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC. 12 BIT 4:1 MUX 1.3GS/s DAC, DIE Lead HSD Package 12 BIT 4:1 MUX 1.3GS/s DAC, 88 Lead QFP Package

12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC. 12 BIT 4:1 MUX 1.3GS/s DAC, DIE Lead HSD Package 12 BIT 4:1 MUX 1.3GS/s DAC, 88 Lead QFP Package RDA012M4MS 12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC Features 12 Bit Resolution 1.3 GS/s Sampling Rate 4:1 Input Multiplexer Master-Slave Operation for Synchronous Operation of Multiple Devices Differential

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

Design of High-Speed Serial-Links in CMOS (Task ID: )

Design of High-Speed Serial-Links in CMOS (Task ID: ) Design of High-Speed Serial-Links in CMOS (Task ID: 930.001) SRC Research Review September 10, 2003 Won Namgoong University of Southern California SRC Review 9/10/03 W. Namgoong, USC 1 Design of High-Speed

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

12 Bit 1.5 GS/s Return to Zero DAC

12 Bit 1.5 GS/s Return to Zero DAC 12 Bit 1.5 GS/s Return to Zero DAC RDA112RZ Features 12 Bit Resolution 1.5 GS/s Sampling Rate 10 Bit Static Linearity LVDS Compliant Digital Inputs Power Supply: -5.2V, +3.3V Input Code Format: Offset

More information

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension A 33.6-46.2GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension E. Mammei, E. Monaco*, A. Mazzanti, F. Svelto Università degli Studi di Pavia, Pavia, Italy

More information

Table 1 details the differences between the family parts to assist designers in selecting the optimal part for their design.

Table 1 details the differences between the family parts to assist designers in selecting the optimal part for their design. FEATURES LVPECL Outputs Optimized for Very Low Phase Noise (-165dBc/Hz) Up to 800MHz Bandwidth Selectable 1, 2 Output Selectable Enable Logic 3.0V to 3.6V Operation RoHS Compliant Pb Free Packages BLOCK

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

A 5Gbit/s CMOS Clock and Data Recovery Circuit

A 5Gbit/s CMOS Clock and Data Recovery Circuit A 5Gbit/s CMOS Clock and Data Recovery Circuit Author Kok-Siang, Tan, Sulainian, Mohd Shahian, Soon-Hwei, Tan, I Reaz, Mamun, Mohd-Yasin, F. Published 2005 Conference Title 2005 IEEE Conference on Electron

More information

Assoc. Prof. Dr. Burak Kelleci

Assoc. Prof. Dr. Burak Kelleci DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING ANALOG-TO-DIGITAL AND DIGITAL- TO-ANALOG CONVERTERS Assoc. Prof. Dr. Burak Kelleci Fall 2018 OUTLINE Nyquist-Rate DAC Thermometer-Code Converter Hybrid

More information

ANALOG IC DESIGN HIGH SPEED SERIAL LINKS (HSSL)

ANALOG IC DESIGN HIGH SPEED SERIAL LINKS (HSSL) ANALOG IC DESIGN HIGH SPEED SERIAL LINKS (HSSL) Team members Transmitter Receiver Timing Nasr Mahana Mohamed Alaa Issa Mostafa Naeem Ahmed El-Said Mostafa Ayesh Mohamed Issa El- Dacher Hisham Moubarak

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

A W-Band Phase-Locked Loop for Millimeter-Wave Applications

A W-Band Phase-Locked Loop for Millimeter-Wave Applications A W-Band Phase-Locked Loop for Millimeter-Wave Applications Shinwon Kang Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2015-25 http://www.eecs.berkeley.edu/pubs/techrpts/2015/eecs-2015-25.html

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information