ECEN620: Network Theory Broadband Circuit Design Fall 2014

Size: px
Start display at page:

Download "ECEN620: Network Theory Broadband Circuit Design Fall 2014"

Transcription

1 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University

2 Announcements Exam 3 is on Friday Dec 5 Focus on Lecture 15 (DLLs) to 19 (HS TX) One standard size note sheet allowed Bring your calculator Project Final Report Due Tuesday Dec. 9 Project Presentations Tuesday Dec 16 at 8AM 2

3 Agenda TX Driver Circuits Electrical Drivers Optical Drivers Modulation Schemes Multiplexer Circuits 3

4 High-Speed Electrical Link System 4

5 Differential Signaling [Sidiropoulos] A difference between voltage or current is sent between two lines Requires 2x signal lines relative to single-ended signaling, but less return pins Advantages Signal is self-referenced Can achieve twice the signal swing Rejects common-mode noise Return current is ideally only DC 5

6 Current vs Voltage-Mode Driver Signal integrity considerations (min. reflections) requires 50Ω driver output impedance To produce an output drive voltage Current-mode drivers use Norton-equivalent parallel termination Easier to control output impedance Voltage-mode drivers use Thevenin-equivalent series termination Potentially ½ to ¼ the current for a given output swing V Zcont 2V SW D+ D- D+ D- 6

7 Current-Mode Logic (CML) Driver Used in most high performance serial links Low voltage operation relative to push-pull driver High output common-mode keeps current source saturated Can use DC or AC coupling AC coupling requires data coding Differential pp RX swing is IR/2 with double termination 7

8 Current-Mode Current Levels Single-Ended Termination V V V I d,1 d,0 d, pp I Vd, R IR pp 2 I R 2 R Differential Termination V V V I d,1 d,0 d, pp Vd, R I 42 R I 42 R IR pp 8

9 Voltage-Mode Current Levels 9 2R V I pp d, R V I V V V V V V s s pp d s d s d 2 2 2,,1,1 Single-Ended Termination Differential Termination 4R V I pp d, R V I V V V V V V s s pp d s d s d 4 2 2,,1,1

10 Current-Mode vs Voltage-Mode Summary Driver/Termination Current Level Normalized Current Level Current-Mode/SE V d,pp /Z 0 1x Current-Mode/Diff V d,pp /Z 0 1x Voltage-Mode/SE V d,pp /2Z 0 0.5x Voltage-Mode/Diff V d,pp /4Z x An ideal voltage-mode driver with differential RX termination enables a potential 4x reduction in driver power Actual driver power levels also depend on Output impedance control Pre-driver power Equalization implementation 10

11 Low-Swing Voltage-Mode Drivers Voltage-mode driver implementation depends on output swing requirements For low-swing (< mVpp), an all NMOS driver is suitable V s 4 3 VDD Vt1 VOD1 (Diff. Term) V VDD V V (SE Term) s 2 t1 OD1 11

12 High-Swing Voltage-Mode Drivers Voltage-mode driver implementation depends on output swing requirements For high-swing, CMOS driver is used V s V V t1 OD1 12

13 Low-Swing VM Driver Impedance Control [Poulton JSSC 2007] A linear regulator sets the output stage supply, V s Termination is implemented by output NMOS transistors To compensate for PVT and varying output swing levels, the pre-drive supply is adjusted with a feedback loop The top and bottom output stage transistors need to be sized differently, as they see a different V OD 13

14 High-Swing VM Driver Impedance Control (Segmented for 4- tap TX equalization) [Kossel JSSC 2008] [Fukada ISSCC 2008] High-swing voltage-mode driver termination is implemented with a combination of output driver transistors and series resistors To meet termination resistance levels (50), large output transistors are required Degrades potential power savings vs current-mode driver 14

15 Current-Mode Driver Example 15

16 Voltage-Mode Driver Example 16

17 Agenda TX Driver Circuits Electrical Drivers Optical Drivers Modulation Schemes Multiplexer Circuits 17

18 Optical Modulation Techniques Due to it s narrow frequency (wavelength) spectrum, a single-longitudinal mode (SLM) laser source often generates the optical power that is modulated for data communication Two modulation techniques Direct modulation of laser External modulation of continuous-wave (CW) DC laser with absorptive or refractive modulators 18

19 Directly Modulated Laser Directly modulating laser output power Simplest approach Introduces laser chirp, which is unwanted frequency (wavelength) modulation This chirp causes unwanted pulse dispersion when passed through a long fiber 19

20 Externally Modulated Laser External modulation of continuous-wave (CW) DC laser with absorptive or refractive modulators Adds an extra component Doesn t add chirp, and allows for a transform limited spectrum 20

21 Extinction Ratio In optical communication systems, a finite optical power is generally transmitted for a zero symbol due to Laser turn-on delay below threshold current External modulator non-idealities and driver voltage swing limitations The ratio between the one, P 1, and zero, P 0, power is the extinction ratio Extinction Ratio ER P P

22 Extinction Ratio Power Penalty Optical receiver sensitivity is often specified in terms of the average optical power necessary for the target BER P 2 P 1 P 0 For the same average optical power, a finite extinction ratio reduces the signal swing that the receiver sees, which is what really determines the BER To restore the original signal swing, more average transmitted power is necessary, quantified by an extinction ratio power penalty ER 1 PP ER 1 22

23 Extinction Ratio Power Penalty PP An ER ER ER (6.99dB) results in PP (1.76dB) 23

24 Optical Sources for Chip-to-Chip Links Vertical-Cavity Surface-Emitting Laser (VCSEL) Mach-Zehnder Modulator (MZM) Electro-Absorption Modulator (EAM) Ring-Resonator Modulator (RRM) 24

25 Vertical-Cavity Surface-Emitting Laser (VCSEL) VCSEL Cross-Section VCSEL L-I-V Curves VCSEL emits light perpendicular from top (or bottom) surface Important to always operate VCSEL above threshold current, I TH, to prevent turn-on delay which results in ISI Operate at finite extinction ratio (P 1 /P 0 ) P I TH = 700A = 0.37mW/mA I Slope Efficiency o I TH P I W A 25

26 Mach-Zehnder Modulator (MZM) [Analui JSSC 2006] Refractive modulator which splits incoming light into two paths, induces a voltage-controlled phase shift in the two paths, and recombines the light in or out of phase While typically higher power relative to EAM or RRM, they are less sensitive to temperature variations For analog communication applications, modulator cosine transfer function can limit linearity 26

27 Electro-Absorption Modulator (EAM) Surface Normal EAM* [Helman JSTQE 2005] Absorption edge shifts with changing bias voltage due to the quantum-confined Stark or Franz- Waveguide EAM [Liu 2008] Keldysh effect & modulation occurs Modulators can be surface-normal devices or waveguide-based Maximizing voltage swing allows for good contrast ratio over a wide wavelength range Devices are relatively small and can be treated as lump-capacitance loads fF depending on device type 27

28 Ring-Resonator Modulator (RRM) Refractive devices which modulate by changing the interference light coupled into the ring with the waveguide light Devices are relatively small (ring diameters < 20m) and can be treated as lumped capacitance loads (~10fF) Devices can be used in WDM systems to selectively modulate an individual wavelength or as a drop filter at receivers [Young ISSCC 2009] 28

29 Wavelength Division Multiplexing w/ Ring Resonators [Rabus] Ring resonators can act as both modulators and add/drop filters to steer light to receivers or switch light to different waveguides Potential to pack >100 waveguides, each modulated at more than 10Gb/s on a single on-chip waveguide with width <1m (pitch ~4m) 29

30 VCSEL Drivers Current-Mode VCSEL Driver VCSEL Driver w/ 4-tap FIR Equalization Current-mode drivers often used due to linear L-I relationship Equalization can be added to extend VCSEL bandwidth for a given current density [Palermo ESSCIRC 2006] 30

31 VCSEL TX Optical Testing Wirebonded 10Gb/s VCSEL 31

32 VCSEL 16Gb/s Optical Eye Diagrams I avg =6.2mA, ER=3dB No Equalization I DC = 4.37mA I MOD = 3.66mA w/ Equalization I DC = 3.48mA I = -0.70mA I 0 = 4.36mA I 1 = -0.19mA I 2 = 0.19mA Equalization increases vertical eye opening 45% at 16Gb/s 32

33 Mach-Zehnder Modulator (MZM) [Analui JSSC 2006] Refractive modulator which splits incoming light into two paths, induces a voltage-controlled phase shift in the two paths, and recombines the light in or out of phase Long device (several mm) requires driver to drive low-impedance transmission line at potentially high swing (5V ppd ) While much higher power relative to RRM, they are less sensitive to temperature variations 33

34 CMOS Modulator Driver Simple CMOS-style voltage-mode drivers can drive EAM and RRM due to their small size Device may require swing higher than nominal CMOS supply Pulsed-Cascode driver can reliably provide swing of 2xVdd (or 4xVdd) at up to 2FO4 data rate Pulsed-Cascode Driver [Palermo ESSCIRC 2006] 34

35 High-Voltage Output Stage Issues Cascode Driver* Vds stress on MN2 > 45% Vdd Cascode driver has potential for 2x Vdd drive at high speed Static-biased cascode suffers from V ds stress during transients [*Woodward PTL 1997] 35

36 Pulsed-Cascode Output Stage Preserves two-transistor stack configuration for maximum speed Vds stress < 10% Vdd Cascode transistors gates pulsed during transitions to prevent Vds overstress [Palermo ESSCIRC 2006] 36

37 EAM (MQWM) TX Testing Electrical sampler at modulator transmitter output [Roth JLT 2007] 16Gb/s data subsampled at modulator driver output node 37

38 Agenda TX Driver Circuits Electrical Drivers Optical Drivers Modulation Schemes Multiplexer Circuits 38

39 Modulation Schemes Binary, NRZ, PAM-2 Simplest, most common modulation format PAM-4 Transmit 2 bits/symbol Less channel equalization and circuits run ½ speed Duobinary xnxn1 w n Allows for controlled ISI, symbol at RX is current bit plus preceding bit Results in less channel equalization No Pre-Coding Case , if x[n-1]=1 1, if x[n-1]=0 OR 0, if x[n-1]=1 0, if x[n-1]=0 39

40 Modulation Frequency Spectrum Majority of signal power in 1GHz bandwidth Majority of signal power in 0.5GHz bandwidth Majority of signal power in 0.5GHz bandwidth 40

41 Agenda TX Driver Circuits Electrical Drivers Optical Drivers Modulation Schemes Multiplexer Circuits 41

42 TX Multiplexer Full Rate Tree-mux architecture with cascaded 2:1 stages often used Full-rate architecture relaxes clock dutycycle, but limits max data rate Need to generate and distribute high-speed clock Need to design highspeed flip-flop 42

43 TX Multiplexer Full Rate Example CML logic sometimes used in last stages Minimize CML to save power [Cao JSSC 2002] 10Gb/s in 0.18m CMOS 130mW!! 43

44 TX Multiplexer Half Rate Half-rate architecture eliminates high-speed clock and flip-flop Output eye is sensitive to clock duty cycle Critical path no longer has flip-flop setup time Final mux control is swapped to prevent output glitches Can also do this in preceding stages for better timing margin 44

45 Clock Distribution Speed Limitations Max clock frequency that can be efficiently distributed is limited by clock buffers ability to propagate narrow pulses CMOS buffers are limited to a min clock period near 8FO4 inverter delays About 4GHz in typical 90nm CMOS Full-rate architecture limited to this data rate in Gb/s Need a faster clock use faster clock buffers CML CML w/ inductive peaking faster t FO4 in 90nm ~ 30ps Clock Amplitude Reduction* *C.-K. Yang, Design of High-Speed Serial Links in CMOS," slower 45

46 Multiplexing Techniques ½ Rate Full-rate architecture is limited by maximum clock frequency to 8FO4 T b To increase data rates eliminate final retiming and use multiple phases of a slower clock to mux data Half-rate architecture uses 2 clock phases separated by 180 to mux data Allows for 4FO4T b 180 phase spacing (duty cycle) critical for uniform output eye 46

47 2:1 CMOS Mux *C.-K. Yang, Design of High-Speed Serial Links in CMOS," faster 2:1 CMOS mux able to propagate a minimum pulse near 2FO4 T b However, with a ½-rate architecture still limited by clock distribution to 4FO4 T b 8Gb/s in typical 90nm slower 47

48 2:1 CML Mux [Razavi] CML mux can achieve higher speeds due to reduced self-loading factor Cost is higher power consumption that is independent of data rate (static current) 48

49 Increasing Multiplexing Factor ¼ Rate Increase multiplexing factor to allow for lower frequency clock distribution ¼-rate architecture 4-phase clock distribution spaced at 90 allows for 2FO4 Tb 90 phase spacing and duty cycle critical for uniform output eye 49

50 Increasing Multiplexing Factor Mux Speed Higher fan-in muxes run slower due to increased cap at mux node ¼-rate architecture 4:1 CMOS mux can potentially achieve 2FO4 T b with low fanout An aggressive CMOS-style design has potential for 16Gb/s in typical 90nm CMOS 1/8-rate architecture 8-phase clock distribution spaced at 45 allows for 1FO4 Tb No way a CMOS mux can achieve this!! <10% pulse width closure select signal 2:1 8:1 *C.-K. Yang, Design of High-Speed Serial Links in CMOS,"

51 Next Time High-Speed I/O Overview 51

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 016 Lecture 7: Transmitter Analysis Sam Palermo Analog & Mixed-Signal Center Texas A&M University Optical Modulation Techniques

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 9: Mach-Zehnder Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Mach-Zehnder

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 10: Termination & Transmitter Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 6: RX Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Prelab due now Exam

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

Modulation of light. Direct modulation of sources Electro-absorption (EA) modulators

Modulation of light. Direct modulation of sources Electro-absorption (EA) modulators Modulation of light Direct modulation of sources Electro-absorption (EA) modulators Why Modulation A communication link is established by transmission of information reliably Optical modulation is embedding

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

SHF Communication Technologies AG

SHF Communication Technologies AG SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23 Aufgang D 12277 Berlin Marienfelde Germany Phone ++49 30 / 772 05 10 Fax ++49 30 / 753 10 78 E-Mail: sales@shf.biz Web: http://www.shf.biz

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING ECE4691-111 S - FINAL EXAMINATION, April 2017 DURATION: 2.5 hours Optical Communication and Networks Calculator Type: 2 Exam Type: X Examiner:

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

High Speed Mixed Signal IC Design notes set 9. ICs for Optical Transmission

High Speed Mixed Signal IC Design notes set 9. ICs for Optical Transmission High Speed Mixed Signal C Design notes set 9 Cs for Optical Transmission Mark Rodwell University of California, Santa Barbara rodwell@ece.ucsb.edu 805-893-3244, 805-893-3262 fax Cs for Optical Transmission:

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS Masum Hossain & Anthony Chan Carusone Electrical & Computer Engineering University of Toronto Outline Applications g m -Boosting

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

High-speed Integrated Circuits for Silicon Photonics

High-speed Integrated Circuits for Silicon Photonics High-speed Integrated Circuits for Silicon Photonics Institute of Semiconductor, CAS 2017.7 Outline Introduction High-Speed Signaling Fundamentals TX Design Techniques RX Design Techniques Design Examples

More information

CHAPTER 4 RESULTS. 4.1 Introduction

CHAPTER 4 RESULTS. 4.1 Introduction CHAPTER 4 RESULTS 4.1 Introduction In this chapter focus are given more on WDM system. The results which are obtained mainly from the simulation work are presented. In simulation analysis, the study will

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Lecture 9 External Modulators and Detectors

Lecture 9 External Modulators and Detectors Optical Fibres and Telecommunications Lecture 9 External Modulators and Detectors Introduction Where are we? A look at some real laser diodes. External modulators Mach-Zender Electro-absorption modulators

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

A 56Gb/s PAM-4 VCSEL driver circuit

A 56Gb/s PAM-4 VCSEL driver circuit ISSC 2012, NUI Maynooth, June 28-29 56Gb/s PM-4 VCSEL driver circuit N. Quadir*, P. Ossieur* and P. D. Townsend* *Photonic Systems Group, Tyndall National Institute, University College Cork, Ireland email:nasir.quadir@tyndall.ie

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Module 12 : System Degradation and Power Penalty

Module 12 : System Degradation and Power Penalty Module 12 : System Degradation and Power Penalty Lecture : System Degradation and Power Penalty Objectives In this lecture you will learn the following Degradation during Propagation Modal Noise Dispersion

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

Project: IEEE P Working Group for Wireless Personal Area Networks N

Project: IEEE P Working Group for Wireless Personal Area Networks N Project: IEEE P802.15 Working Group for Wireless Personal Area Networks N (WPANs( WPANs) Title: [VLC PHY Considerations] Date Submitted: [09 September 2008] Source: [Sang-Kyu Lim, Kang Tae-Gyu, Dae Ho

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

EE273 Lecture 6 Introduction to Signaling January 28, 2004

EE273 Lecture 6 Introduction to Signaling January 28, 2004 EE273 Lecture 6 Introduction to Signaling January 28, 2004 Heinz Blennemann Stanford University 1 Today s Assignment Problem Set 4 on Web & handout eading Sections 7.4 and 7.5 Complete before class on

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

XFP 10G MM SR. 10Gbps XFP Optical Transceiver, 300m Reach

XFP 10G MM SR. 10Gbps XFP Optical Transceiver, 300m Reach XFP 10G MM SR 10Gbps XFP Optical Transceiver, 300m Reach Features Supports 9.95Gbps to 10.5Gbps bit rates Maximum link length of 300m (50um,MMF,2000MHz.Km) 850nm VCSEL laser and PIN receiver Low power

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE.

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE. 19-2934; Rev 1; 6/7 1Gbps Modulator Driver General Description The is designed to drive high-speed optical modulators at data rates up to 1.7Gbps. It functions as a modulation circuit, with an integrated

More information

Optical Fibre Amplifiers Continued

Optical Fibre Amplifiers Continued 1 Optical Fibre Amplifiers Continued Stavros Iezekiel Department of Electrical and Computer Engineering University of Cyprus ECE 445 Lecture 09 Fall Semester 2016 2 ERBIUM-DOPED FIBRE AMPLIFIERS BASIC

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Fiber-Optic Communication Systems

Fiber-Optic Communication Systems Fiber-Optic Communication Systems Second Edition GOVIND P. AGRAWAL The Institute of Optics University of Rochester Rochester, NY A WILEY-iNTERSCIENCE PUBLICATION JOHN WILEY & SONS, INC. NEW YORK / CHICHESTER

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 26.4 40Gb/s CMOS Distributed Amplifier for Fiber-Optic Communication Systems H. Shigematsu 1, M. Sato 1, T. Hirose 1, F. Brewer 2, M. Rodwell 2 1 Fujitsu,

More information

Wavelength (nm) (m) ( o C) SPM-2100AWG 10.3 SR / SW 300 / 82 / 33* 850 VCSEL SFP+ with DMI -40 to 85 Yes

Wavelength (nm) (m) ( o C) SPM-2100AWG 10.3 SR / SW 300 / 82 / 33* 850 VCSEL SFP+ with DMI -40 to 85 Yes / SPM-2100BWG / SPM-2100AWG (RoHS Compliant) 3.3V / 850 nm / 10.3 Gb/s Digital Diagnostic SFP+ LC Multi-Mode TRANSCEIVER ********************************************************************************************************************************************************************

More information

RZ BASED DISPERSION COMPENSATION TECHNIQUE IN DWDM SYSTEM FOR BROADBAND SPECTRUM

RZ BASED DISPERSION COMPENSATION TECHNIQUE IN DWDM SYSTEM FOR BROADBAND SPECTRUM RZ BASED DISPERSION COMPENSATION TECHNIQUE IN DWDM SYSTEM FOR BROADBAND SPECTRUM Prof. Muthumani 1, Mr. Ayyanar 2 1 Professor and HOD, 2 UG Student, Department of Electronics and Communication Engineering,

More information

TPP3XGDS0x000E2G 850nm SFP+ Transceiver

TPP3XGDS0x000E2G 850nm SFP+ Transceiver Features 850nm VCSEL laser TPP3XGDS0x000E2G Transmission distance up to 300m on OM3 MM fiber Low power consumption Wide Case Operating Temperature Range Compliant with SFP+ Electrical MSA SFF-843 Compliant

More information

Arista 40GBASE-XSR4-AR. Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW APPLICATIONS PRODUCT FEATURES. FluxLight, Inc

Arista 40GBASE-XSR4-AR. Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW APPLICATIONS PRODUCT FEATURES. FluxLight, Inc Part Number: 40GBASE-XSR4-AR 40GBASE-XSR4-AR OVERVIEW The 40GBASE-XSR4-AR is a parallel 40 Gbps Quad Small Form-factor Pluggable (QSFP+) optical module. It provides increased port density and total system

More information

DATASHEET 4.1. QSFP, 40GBase-LR, CWDM nm, SM, DDM, 6.0dB, 10km, LC

DATASHEET 4.1. QSFP, 40GBase-LR, CWDM nm, SM, DDM, 6.0dB, 10km, LC SO-QSFP-LR4 QSFP, 40GBASE-LR, CWDM 1270-1330nm, SM, DDM, 6.0dB, 10km, LC OVERVIEW The SO-QSFP-LR4 is a transceiver module designed for optical communication applications up to 10km. The design is compliant

More information

HFTA-08.0: Receivers and Transmitters in DWDM Systems

HFTA-08.0: Receivers and Transmitters in DWDM Systems HFTA-08.0: Receivers and Transmitters in DWDM Systems The rapidly growing internet traffic demands a near-continuous expansion of data-transmission capacity. To avoid traffic jams on the data highways,

More information

1310NM FP LASER FOR 10GBASE-LRM SC AND LC TOSA

1310NM FP LASER FOR 10GBASE-LRM SC AND LC TOSA DATA SHEET 1310NM FP LASER FOR 10GBASE-LRM SC AND LC TOSA FP-1310-10LRM-X FEATURES: 1310nm FP laser Very low power dissipation SC and LC optical receptacles 10Gbps direct modulation Impedance matching

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.7 13.7 A 10Gb/s Photonic Modulator and WDM MUX/DEMUX Integrated with Electronics in 0.13µm SOI CMOS Andrew Huang, Cary Gunn, Guo-Liang Li, Yi Liang, Sina Mirsaidi, Adithyaram Narasimha, Thierry Pinguet Luxtera,

More information

This 1310 nm DFB 10Gigabit SFP+ transceiver is designed to transmit and receive optical data over single mode optical fiber for link length 10km.

This 1310 nm DFB 10Gigabit SFP+ transceiver is designed to transmit and receive optical data over single mode optical fiber for link length 10km. 10G-SFPP-LR-A 10Gbase SFP+ Transceiver Features 10Gb/s serial optical interface compliant to 802.3ae 10GBASE LR Electrical interface compliant to SFF-8431 specifications for enhanced 8.5 and 10 Gigabit

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology

12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology 12.5 Gb/s JESD204B Compliant Transmitter Design in 28nm FD-SOI Technology Firat Çelik firat.celik@epfl.ch Master Thesis 2016 Supervised by Prof. Yusuf Leblebici Tuğba Demirci Microelectronic Systems Laboratory

More information

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1 Lecture 6 Optical transmitters Photon processes in light matter interaction Lasers Lasing conditions The rate equations CW operation Modulation response Noise Light emitting diodes (LED) Power Modulation

More information

π code 0 Changchun,130000,China Key Laboratory of National Defense.Changchun,130000,China Keywords:DPSK; CSRZ; atmospheric channel

π code 0 Changchun,130000,China Key Laboratory of National Defense.Changchun,130000,China Keywords:DPSK; CSRZ; atmospheric channel 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) Differential phase shift keying in the research on the effects of type pattern of space optical

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

ECE137b Second Design Project Option

ECE137b Second Design Project Option ECE137b Second Design Project Option You must purchase lead-free solder from the electronics shop. Do not purchase solder elsewhere, as it will likely be tin/lead solder, which is toxic. "Solder-sucker"

More information

Module 16 : Integrated Optics I

Module 16 : Integrated Optics I Module 16 : Integrated Optics I Lecture : Integrated Optics I Objectives In this lecture you will learn the following Introduction Electro-Optic Effect Optical Phase Modulator Optical Amplitude Modulator

More information

PROLABS XENPAK-10GB-SR-C

PROLABS XENPAK-10GB-SR-C PROLABS XENPAK-10GB-SR-C 10GBASE-SR XENPAK 850nm Transceiver XENPAK-10GB-SR-C Overview PROLABS s XENPAK-10GB-SR-C 10 GBd XENPAK optical transceivers are designed for Storage, IP network and LAN, it is

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI G. Steffan 1, E. Depaoli 1, E. Monaco 1, N. Sabatino 1, W. Audoglio 1, A. A. Rossi 1, S. Erba 1, M. Bassi 2,

More information

EE 230: Optical Fiber Communication Transmitters

EE 230: Optical Fiber Communication Transmitters EE 230: Optical Fiber Communication Transmitters From the movie Warriors of the Net Laser Diode Structures Most require multiple growth steps Thermal cycling is problematic for electronic devices Fabry

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Lecture 8 Fiber Optical Communication Lecture 8, Slide 1

Lecture 8 Fiber Optical Communication Lecture 8, Slide 1 Lecture 8 Bit error rate The Q value Receiver sensitivity Sensitivity degradation Extinction ratio RIN Timing jitter Chirp Forward error correction Fiber Optical Communication Lecture 8, Slide Bit error

More information

Multi-gigabit signaling with CMOS

Multi-gigabit signaling with CMOS Multi-gigabit signaling with CMOS William J. Dally - Massachusetts Institute of Technology John Poulton - University of North Carolina @ Chapel Hill Steve Tell - University of North Carolina @ Chapel Hill

More information

XFP 10G SR 03km LC Optical Transceiver

XFP 10G SR 03km LC Optical Transceiver Product Specification 1. Features Supports 9.95Gbps to 10.5Gbps bit rates Maximum link length of 300m (50um, MMF, 2000MHz.Km) 850nm VCSEL laser and PIN receiver Low power consumption

More information

DATA SHEET: Transceivers

DATA SHEET: Transceivers ProLabs QSFP 40G ER4 C 40GBASE ER4 QSFP+ SMF 1271 1331NM 30KM REACH LC DOM DATA SHEET: Transceivers QSFP-40G-ER4-C Overview ProLabs QSFP 40G ER4 C Quad Small Form Factor Pluggable (QSFP+) transceivers

More information

10Gb/s CWDM SFP+ Optical Transceiver TR-LXxxL-N00

10Gb/s CWDM SFP+ Optical Transceiver TR-LXxxL-N00 10Gb/s CWDM SFP+ Optical Transceiver TR-LXxxL-N00 Features 10Gb/s serial optical interface compliant to 802.3ae 10GBASE-LR Electrical interface compliant to SFF-8431 SFP+ MSA 2-wire interface for management

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver

Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver Product Specification RoHS-6 Compliant 10Gb/s 850nm Multimode Datacom XFP Optical Transceiver PRODUCT FEATURES Hot-pluggable XFP footprint Supports 9.95Gb/s to 10.5Gb/s bit rates Power dissipation

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

Burst Mode Technology

Burst Mode Technology Burst Mode Technology A Tutorial Paolo Solina Frank Effenberger Acknowledgements Jerry Radcliffe Walt Soto Kenji Nakanishi Meir Bartur Overview Burst Mode Transmitters Rise and fall times Automatic power

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information