A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

Size: px
Start display at page:

Download "A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) ISSN(Online) A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface Kyungmin Lee, Seung-Hoon Kim, and Sung Min Park Abstract This paper presents a transceiver chipset realized in a 0.13-mm CMOS technology for serial digital interface of video data transmission, which compensates the electrical cable loss of 45 db in maximum at 1.5 Gbps. For the purpose, the TX equips pre-emphasis in the main driver by utilizing a D-FF with clocks generated from a wide-range tuning PLL. In RX, two-stage continuous-time linear equalizers and a limiting amplifier are exploited as a front-end followed by a 1/8-rate CDR to retime the data with inherent 1:8 demultiplexing function. Measured results demonstrate data recovery from 270 Mbps to 1.5 Gbps. The TX consumes 104 mw from 1.2/3.3-V supplies and occupies the area of mm 2, whereas the RX dissipate 133 mw from a 1.2-V supply and occupies the area of 1.44 mm 2. Index Terms CMOS, digital interface, equalization, pre-emphasis, receiver, serial links, transmitter Particularly, the latter may result in severe inter-symbolinterference(isi) and degraded bit-error-rate(ber) during the parallel data transmission. Hence, circuit designers should make efforts not only to avoid these issues, but also to extend the distance of data communication. On the contrary, serial digital interface needs much less number of I/O pins and thus provides advantages of the improved BER due to the mitigated skew and crosstalk between channels. However, considerable signal attenuation cannot be avoided because of the rapid increase of data rate through the channel. In order to compensate the severe signal attenuation, either preemphasis or equalization can be applied at transmitter(tx) or at receiver(rx), respectively [2, 3]. Fig. 1 shows a typical serial digital link with front-end analog circuits, where the TX consists of a N:1 MUX, a retimer with a PLL, and a main driver with pre-emphasis. The RX comprises an equalizer, a clock and data recovery(cdr), and a 1:N DEMUX. I. INTRODUCTION Parallel digital interface has been attractive in the applications of multimedia data transmission systems [1]. However, it inevitably requires more I/O pins, which leads to undesirable cost increase. Also, it gives rise to a number of signal distortion issues, such as skew problems when data and clock are transmitted simultaneously, and crosstalk issues between adjacent channels when multi-channel data are transmitted. Manuscript received Apr. 26, 2017; accepted Jul. 11, 2017 Department of Electronic and Electrical Engineering, Ewha Womans University, Seoul, Korea kmrhee13@gmail.com, smpark@ewha.ac.kr Fig. 1. Example of a typical serial digital link.

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, Fig. 2. Block diagrams of the proposed TX, the proposed RX. This paper presents a transceiver chipset realized in a 0.13-mm CMOS technology which can compensate the significant cable loss of 45 db at the operation speed of 1.5 Gbps via a low-cost 180-meter coaxial cable. Section II describes the block diagrams of the proposed TX and RX chips along with its schematic diagrams in detail. Section III demonstrates the measured results of the transceiver chipset to confirm the proposed circuit design. Then, the conclusion is followed in Section IV. II. CIRCUIT DESCRIPTION The architecture of the proposed TX is shown in Fig. 2, where an 8:1 MUX is utilized as a serializer with a PLL as a clock generator. The PLL is designed to operate at a wide frequency range of 270 MHz ~ 1.5 GHz, where two reference clocks(i.e. 27/75 MHz) are exploited for alleviating the design burden. The main driver utilizes the wide-range PLL clocks to generate pre-emphasis at the output signals. It should be noted that only one coaxial cable is utilized as a transmission medium, and therefore another output node of the TX driver should be 75-Ω terminated for impedance matching. Fig. 2 shows the block diagram of the proposed RX, where two continuous-time linear equalizers(eqs) and a limiting amplifier(la) are employed as a front-end circuit to boost weak signals occurred in a long 180- meter coaxial cable. Then, a 1/8-rate CDR is followed to recover the clocks and retime the data with no need of an additional 1:8 DEMUX for low power operations. 1. Transmitter Circuit Fig. 3. Block diagram of the TX front-end circuit in detail, a timing diagram to explain the pre-emphasis operations. Fig. 3 shows the block diagram of the proposed TX front-end circuit, which consists of an input buffer, a preamplifier, a D-FF, and a driver with a coaxial cable. The pre-emphasis function is realized at the main driver to compensate the significant cable loss by comparing the original incoming bit sequence with the delayed bit at the output of the D-FF. Therefore, it emphasizes the rising and falling edges of each bit, as shown in Fig. 3. An example of the pre-emphasis operations is depicted, where the equations corresponding to the rising edges of each bit are described in (1) ~ (4). Fig. 4 shows the block diagram of the PLL circuit, in which the divided clocks are generated in the fashion suggested in Fig. 4. As an example, when the VCO generates 1.5 GHz clock signal, 750 MHz clock can be

3 554 KYUNGMIN LEE et al : A 1.5 GBPS TRANSCEIVER CHIPSET IN 0.13-mM CMOS FOR SERIAL DIGITAL INTERFACE Fig. 4. Block diagrams of the PLL circuit, the proposed mechanism of the divided clocks. generated by the utilized frequency divider. By the same principle, a 270 MHz clock can be generated from a 540 MHz clock signal. Therefore, the VCO will have to generate 1.5 GHz and 540 MHz clock signals only, which alleviates the design burden of a wide tuningrange VCO. Each of two generated frequencies is selected by V0. In Fig. 4, POUT and MOUT represent the differential outputs of the VCO, while CK and CKB are the divided clocks to control other circuitry including MUX and the front-end circuits. Switches (S00, S11 and S21) in Fig. 4 are controlled by I 2 C circuit inside the TX chip (which was not shown in the figure). These will select the path and hence the desired clock frequencies. Fig. 5 depicts the post-layout simulation results of the proposed TX. Fig. 5 represents the simulated differential eye-diagrams at the output of the main driver when a 180-meter coaxial cable is disconnected and the pre-emphasis function is turned-off. It is clearly seen that the output voltage levels of larger than 1.0 V are obtained even at 1.5 Gb/s. These values validate the usage of this TX even for the applications of HDMI cables. Fig. 5 shows the output eye-diagrams of the main driver with the pre-emphasis turned-on, where the pre-emphasis of the waveforms is clearly visible with 4-levels of amplitudes. Fig. 6 shows the output eye-diagrams after passing a 180-meter coaxial cable. Even at 1.5 Gbps, the voltage levels of the eye-diagrams are about 8 mv pp. Since the RX in this work includes two equalizers and a CDR Fig. 5. Post-layout eye-diagrams of the proposed TX with the pre-emphasis off, with the pre-emphasis on.

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, Fig. 6. Post-layout eye-diagrams after passing a 180-meter coaxial cable. circuit to enable to recover as weak signals as 5 mv pp. Hence, it confirms the validity of this TX for high-speed video data transmission via a low-cost 180-meter coaxial cable. 2. Receiver Circuit The proposed RX consists of two EQs, a LA, and a 1/8-rate clock-data recovery(cdr) circuit (as shown in Fig. 2). Fig. 7 shows the schematic diagram of the continuous time linear equalizer(ctle) where a twostage equalizer is exploited with capacitor arrays to control gain peaking and zero frequency. Two-stage LA, as shown in Fig. 7, employs negative capacitance at each gain-cell to cancel the parasitic capacitance for wide bandwidth. Also, DCoffset voltage cancellation is utilized in the LA to acquire the DC-voltage balance at the outputs. A CML differential pair is used at both the input and output buffers. Fig. 8 shows the schematic diagram of the proposed CDR. It enables to recover clocks, retime the incoming data, and automatically demultiplex the high-frequency data to 1-to-8 digital NRZ signals. The CDR consists of a 1/8-rate linear phase detector, a voltage-to-current(v-i) converter, a 2 nd -order filter, and a four-stage differential ring-oscillator with 5-bit coarse tuning switches. The CDR circuit exploits the 1/8-rate architecture to realize small chip area and low DC power consumption [6]. It is optimized to enable continuous operations from 135 Mbps to 1.5 Gbps, in which any desired bit-rate can be easily selected by the variation of the control voltage levels in the ring VCO. Fig. 7. Schematic diagrams of CTLE, LA. Fig. 9 depicts the post-layout simulation results of eyediagrams at the differential outputs of the front-end circuit(ctle+la). It is clearly seen that the output voltage levels of 560 mv pp are obtained at the output of

5 556 KYUNGMIN LEE et al : A 1.5 GBPS TRANSCEIVER CHIPSET IN 0.13-mM CMOS FOR SERIAL DIGITAL INTERFACE Fig. 10. Post-layout eye-diagrams of the 1:8 demuxed output at the CDR. Fig. 8. CDR architecture, block diagram of the 1/8-rate phase detector. Fig. 11. Chip photos of the proposed TX and RX. Fig. 9. Post-layout differential output eye-diagrams of the RX(EQ+LA). LA, which is enough to drive the following CDR circuit. Fig. 10 shows the 1:8 demultiplexed data outputs of the CDR circuit for 1.5 Gbps PRBS inputs, confirming the validity of the proposed RX. III. MEASURED RESULTS Fig. 12. Photograph of a coaxial cable with BNC connectors, its measured S21 parameter(cable attenuation) of a 180-meter cable. Test chips of the proposed TX and RX circuits were implemented by using a 0.13-mm CMOS process. Fig. 11 shows the chip microphotographs, where the proposed TX and RX occupies the chip area of mm 2 and 1.44 mm 2, respectively. Fig. 12 shows the photograph of a 180-meter coaxial cable with BNC connectors and its measured S- parameter(s21) by using Agilent N5071C network analyzer, where it is clearly seen that the signal loss reaches 45 db at 1.5 GHz.

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, Fig. 13. Measured TX eye-diagrams (single-ended) at 270/540/750/1500 Mb/s with the pre-emphasis turned-off, turned-on. 1. Transmitter Measurements Fig. 13 demonstrates the measured eye-diagrams of the proposed TX with the coaxial cable at one side of the TX output and a 50-Ω termination at the other side. The eye-diagrams are measured when the preemphasis is either turned-off or turned-on, by utilizing Anritsu MP1775A pulse pattern generator and Agilent 86100D digital communication analyzer. It is clearly seen that the pre-emphasis function is operating from 270 Mbps to 1.5 Gbps with four levels at each bit rate. The output voltage levels with no preemphasis are measured to be about 370 mv pp which is mainly due to the single-ended measurement with a 50-Ω termination. Still, there exist a discrepancy from the postlayout simulation results of 1.1 V pp, which may be attributed to the impedance mismatch between the 180- meter cable and the PCB trace.

7 558 KYUNGMIN LEE et al : A 1.5 GBPS TRANSCEIVER CHIPSET IN 0.13-mM CMOS FOR SERIAL DIGITAL INTERFACE Fig. 14. Measured eye-diagrams of the RX output voltages (single-ended with 50-Ω termination) of EQ+LA, CDR data & clocks. DC measurements reveal that the TX chip consumes 104 mw from 1.2/3.3-V supplies. 2. Receiver Measurements Fig. 14 demonstrates the measured eye-diagrams of the CTLE+LA circuitry with the coaxial cable connected, where the output voltage levels are measured to be 138 mv pp at 1.5 Gbps. The output voltage levels were measured at a single-ended with 50-Ω termination, and therefore, the differential voltage outputs would be two times higher, i.e. 550 mv pp which is similar to the postlayout simulation results. Fig. 14 shows the measured eye-diagrams of the whole RX (i.e., CTLE+LA+CDR) at Gbps with the camera data inputs, and the recovered clocks from the CDR. The reduced voltage levels of 98 mv pp at the CDR output may be attributed to the output buffer of the CDR circuit in which the switching currents becomes much smaller than anticipated.

8 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, Table 1. Performance summary and comparison of the proposed TX and RX chipset Parameter [2] [8] This work CMOS Technology (nm) Data rate (Gbps) Max. cable loss (db) TX output voltage max. data rate (mv pp) * Supply voltage (V) / 3.3 Power Consumption (mw) ** 237 Chip Area (mm 2 ) * Pre-emphasis off. ** 0.5-V supply 2 Gbps. DC measurements reveal that the total RX chip dissipates 133 mw from a single 1.2-V supply. IV. CONCLUSIONS We have presented a 270/540/750/1500-Mbps TX and RX chipset implemented in a 0.13-mm CMOS technology for the applications of serial digital interface to realize high-speed video data transmission via a lowcost 180-meter coaxial cable. To compensate the significant cable loss of 45 db, a pre-emphasis driver with a D-FF and two-stage continuous-time linear EQs are exploited in the transceiver chipset. Particularly, the CDR takes the configuration of 1/8-rate architecture for small chip size and low power consumption. With the camera input data, the proposed TX and RX chipset recovers data and clocks even at the operation speed of 1.5 Gbps. Table 1 summarizes the performance comparison of the proposed TX and RX chips, which shows a potential for a low-power solution in the applications of long-distance video data transmission with low-cost coaxial cables. ACKNOWLEDGMENTS This work was supported by the National Research Foundation of Korea(NRF) grant funded by the Korea government(msip) (No. NRF-2014R1A2A2A ). REFERENCES [1] K. D. Hwang and L. S. Kim, A 5 Gbps 1.6 mw/g bps/ch Adaptive Crosstalk Cancellation Scheme With Reference-less Digital Calibration and Switched Termination Resistors for Single- Ended Parallel Interface, IEEE Tran. on Circ. and Sys. I, Vol. 61, No. 10, pp , Oct [2] H. Higashi, et al, Gbps 12 channel Transceiver with Pre-emphasis and Equalizer, Technical Digest of IEEE Symp. on VLSI Circuits, pp , Jun [3] S. W. Choi, H. B. Lee and H. J. Park, A Three-Data Differential Signaling Over Four Conductors With Pre-Emphasis and Equalization: A CMOS Current Mode Implementation, IEEE J. of Solid-State Circuits, Vol. 41, No. 3, pp , Mar., [4] S. Min, T. Copani, S. Kiaei and B. Bakkaloglu, A 90-nm CMOS 5-GHz Ring-Oscillator PLL With Delay-Discriminator-Based Active Phase-Noise Cancellation, IEEE J. of Solid-State Circuits, Vol. 48, No. 5, pp , May [5] D. Park and S. Cho, A 14.2 mw 2.55-to-3 GHz Cascaded PLL With Reference Injection and 800 MHz Delta-Sigma Modulator in 0.13 mm CMOS, IEEE J. of Solid-State Circuits, Vol. 47, No. 12, pp , Dec [6] S. Soliman, F. Yuan, K. Raahemifar, An overview of design techniques for CMOS phase detectors, IEEE Int. Symp. on Circ. and Sys., Vol. 5 pp , May [7] J. S. Choi, A 0.18um CMOS 3.5-Gb/s Continuoustime Adaptive Cable Equalizer Using Enhanced Low- Frequency Gain Method, IEEE J. of Solid-State Circuits, Vol. 39, pp , Mar [8] W.-S. Choi et al., A 0.45-to-0.7V 1-to-6Gb/s to-0.58pj/b Source-Synchronous Transceiver Using Automatic Phase Calibration in 65nm CMOS, Technical Digest of IEEE ISSCC, pp , Kyungmin Lee received the B.S. and M.S. degree in electronics engineering from the Department of Electronics Engineering at Ewha Womans University, Seoul, Korea, in 2014 and 2016, respectively. She is currently pursuing the Ph.D. degree in the Department of Electronic and Electrical Engineering at Ewha Womans University. Her research interests include high-speed analog integrated circuit designs.

9 560 KYUNGMIN LEE et al : A 1.5 GBPS TRANSCEIVER CHIPSET IN 0.13-mM CMOS FOR SERIAL DIGITAL INTERFACE Seung Hoon Kim received the B.S., M.S., and Ph.D. degree in the Department of Electronic and Electrical Engineering from Ulsan University, Korea, in 2006, 2008 and 2015, respectively. He is currently a Postdoctoral Researcher at the Department of Electronics Eng. at Ewha Womans University. His research interests include high-speed analog CMOS circuit designs for the applications of digital optical interfaces, Silicon photonics, backplanes, etc. Sung Min Park received the B.S. degree in electrical and electronic engineering from KAIST, Korea, in He received the M.S. degree in electrical engineering from University College London, U.K., in 1994, and the Ph.D. degree in electrical and electronic engineering from Imperial College London, U.K., in May In 2004, he joined the faculty of the Department of Electronics Engineering at Ewha Womans University, Seoul, Korea, where he is currently a full Professor. His research interests include high-speed analog/digital integrated circuit designs in submicron CMOS and SiGe HBT technologies for the applications of optical interconnects, silicon photonics, and RF communications. Prof. Park has served on the technical program committees of a number of international conferences including ISSCC ( ) and A-SSCC ( ).

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links 3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links JaeWook Lee and WooYoung Choi Department of Electrical and Electronic Engineering, Yonsei University patima@tera.yonsei.ac.kr Abstract A new line

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

WITH the rapid proliferation of numerous multimedia

WITH the rapid proliferation of numerous multimedia 548 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 CMOS Wideband Amplifiers Using Multiple Inductive-Series Peaking Technique Chia-Hsin Wu, Student Member, IEEE, Chih-Hun Lee, Wei-Sheng

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY 2006 425 A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up Jae-Youl Lee, Member, IEEE, Sung-Eun Kim, Student Member, IEEE,

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE

2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER /$ IEEE 2284 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 10, OCTOBER 2008 A 622-Mb/s Mixed-Mode BPSK Demodulator Using a Half-Rate Bang-Bang Phase Detector Duho Kim, Student Member, IEEE, Kwang-chun Choi,

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBRUARY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.084 ISSN(Online) 2233-4866 A 4-channel Time Interleaved Sampler

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

AS VLSI technology continues to advance, the operating

AS VLSI technology continues to advance, the operating 2492 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 11, NOVEMBER 2008 A 40 Gb/s CMOS Serial-Link Receiver With Adaptive Equalization and Clock/Data Recovery Chih-Fan Liao, Student Member, IEEE, and

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. 4. A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung,

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Miao Li Department of Electronics Carleton University Ottawa, ON. K1S5B6, Canada Tel: 613 525754 Email:mili@doe.carleton.ca

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector

A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector 746 PAPER Special Section on Analog Circuit and Device Technologies A CMOS Clock and Data Recovery Circuit with a Half-Rate Three-State Phase Detector Ching-Yuan YANG a), Member, Yu LEE, and Cheng-Hsing

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems

A Switched VCO-based CMOS UWB Transmitter for 3-5 GHz Radar and Communication Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.326 ISSN(Online) 2233-4866 A Switched VCO-based UWB Transmitter for

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE 2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER 2008 Design and Comparison of Three 20-Gb/s Backplane Transceivers for Duobinary, PAM4, and NRZ Data Jri Lee, Member, IEEE, Ming-Shuan

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.2, APRIL, 2013 http://dx.doi.org/10.5573/jsts.2013.13.2.145 A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

THE continuous growth of broadband data communications

THE continuous growth of broadband data communications 1004 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 5, MAY 2006 High-Speed Circuit Designs for Transmitters in Broadband Data Links Jri Lee, Member, IEEE Abstract Various high-speed techniques including

More information

IN HIGH-SPEED wireline transceivers, a (DFE) is often

IN HIGH-SPEED wireline transceivers, a (DFE) is often 326 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 6, JUNE 2012 Decision Feedback Equalizer Architectures With Multiple Continuous-Time Infinite Impulse Response Filters Shayan

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter

Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Phase-shift self-oscillating class-d audio amplifier with multiple-pole feedback filter Hyungjin Lee, Hyunsun Mo, Wanil Lee, Mingi Jeong, Jaehoon Jeong 2, and Daejeong Kim a) Department of Electronics

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

CHAPTER 4 RESULTS. 4.1 Introduction

CHAPTER 4 RESULTS. 4.1 Introduction CHAPTER 4 RESULTS 4.1 Introduction In this chapter focus are given more on WDM system. The results which are obtained mainly from the simulation work are presented. In simulation analysis, the study will

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

Time Table International SoC Design Conference

Time Table International SoC Design Conference 04 International SoC Design Conference Time Table A Analog and Mixed-Signal Techniques I DV Digital Circuits and VLSI Architectures ET Emerging technology LP Power Electronics / Energy Harvesting Circuits

More information