Value Creation of AI in the Manufacturing Industry

Size: px
Start display at page:

Download "Value Creation of AI in the Manufacturing Industry"

Transcription

1 Value Creation of AI in the Manufacturing Industry Janet George Fellow/Chief Data Scientist Western Digital Corporation September 28 th, Western Digital Corporation or its affiliates. All rights reserved. 1

2 A Global Leader in Storage Technology $18.3 $15.9 LTM Revenue 1 $11.2 $11.2 $10.3 $5.5 $4.8 $3.4 $2.5 (Information Storage) (Storage & Memory) (NAND) (NAND) (NAND) (NAND) 1 LTM revenues based on most recent public filings and Wall Street research; Western Digital and SanDisk LTM as of 4/1/2016; Toshiba represents March 2016 LTM revenue Western Digital Corporation or its affiliates. All rights reserved. 2

3 Moving Mountains of Data Core Register Core L1 Cache Core L2 Cache Shared L3 Cache DRAM HDD Source: Western Digital estimates 2016 Western Digital Corporation or its affiliates. All rights reserved. 3

4 Access Time (sec) Memory & Storage Hierarchy 1.E-02 1.E-03 Storage HDD 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09 NAND ReRAM DRAM Memory Die Cost ($/GB) PCM CBRAM Storage-Class Memory STT-MRAM SRAM Embedded ReRAM Non-Volatile Volatile 2016 Western Digital Corporation or its affiliates. All rights reserved. 4

5 The FAB 4 of Semiconductor Nirvana Case Study 2D NAND 3D NAND Transition Cost Scalability Scale Ecosystem 2016 Western Digital Corporation or its affiliates. All rights reserved. 5

6 When the Chips are Down, the Wafers are Up! How Tall Would it Be? Number of wafers produced in 2016 In Yokkaichi 2016 Western Digital Corporation or its affiliates. All rights reserved. 6

7 When the Chips are Down, the Wafers are Up! Mount Kilimanjaro 19,340ft Number of wafers produced in 2016 In Yokkaichi Eiffel Burj Khalifa Tower 2717ft 984ft Mount Fuji 12,388ft 2016 Western Digital Corporation or its affiliates. All rights reserved. 7

8 2D NAND Architecture BL BL Contact Floating Gate (memory cell) Source Plate SGD WL SGS NAND String Si-sub 2016 Western Digital Corporation or its affiliates. All rights reserved. 8

9 3D NAND Architecture SGD WL SGS Memory Holes Source Plate Memory Cell 2016 Western Digital Corporation or its affiliates. All rights reserved. 9

10 The BiCS Scaling March 48L 64L 24L BiCS5 BiCS1 BiCS2 BiCS3 BiCS Western Digital Corporation or its affiliates. All rights reserved. 10

11 3D Technologies for SCM 3D XPoint 3D NAND Cell and Materials Selector Process Architecture Product Ecosystem 2016 Western Digital Corporation or its affiliates. All rights reserved. 11

12 Artificial Intelligence value creation First Class of problems New technology Node Creation Pattern Recognition & Machine learning 2016 Western Digital Corporation or its affiliates. All rights reserved. 12

13 Memory Hole (Background Knowledge) (3D) stacked memory structure referred to as a Bit Cost Scalable (BiCS) architecture. For example, a 3D NAND stacked memory device can be formed from an array of alternating conductive and dielectric layers. A memory hole is formed through the layers to define many memory layers simultaneously. A NAND string is then formed by filling the memory hole with appropriate materials. A straight NAND string extends in one memory hole, while a pipe- or U-shaped NAND string (p-bics) includes a pair of vertical columns of memory cells. Control gates of the memory cells may be provided by the conductive layers Western Digital Corporation or its affiliates. All rights reserved. 13

14 Pattern Recognition -New Technology Node Creation Memory Hole Problems Class 1 3D NAND 2016 Western Digital Corporation or its affiliates. All rights reserved. 14

15 Pattern Recognition- New Technology Node Creation Memory Hole Problems Class 1 ML Analysis 3D NAND 2016 Western Digital Corporation or its affiliates. All rights reserved. 15

16 Pattern Recognition- New Technology Node Creation Memory Hole Shape Problems sub patterns Ex Western Digital Corporation or its affiliates. All rights reserved. 16

17 Pattern Recognition- New Technology Node Creation Memory Hole Shape Problems sub patterns Ex Western Digital Corporation or its affiliates. All rights reserved. 17

18 Pattern Recognition- New Technology Node Creation Memory Hole Shape Solution Step 1- De-noising 2016 Western Digital Corporation or its affiliates. All rights reserved. 18

19 Pattern Recognition- New Technology Node Creation Memory Hole Shape Solution Step 2 Curation 2016 Western Digital Corporation or its affiliates. All rights reserved. 19

20 Pattern Recognition- New Technology Node Creation Memory Hole Shape Solution Step 3 Classification 2016 Western Digital Corporation or its affiliates. All rights reserved. 20

21 Pattern Recognition New Technology Node Creation Memory Hole Shape Solution Step 4 Complexity in Training 2016 Western Digital Corporation or its affiliates. All rights reserved. 21

22 Pattern Recognition AI Techniques Memory Hole Shape Solution Elastic Bunch Map Graphing 2016 Western Digital Corporation or its affiliates. All rights reserved. 22

23 Pattern Recognition New Technology Node Creation Memory Hole Shape Solution Step 5 Roundness 2016 Western Digital Corporation or its affiliates. All rights reserved. 23

24 Pattern Recognition New Technology Node Creation Memory Hole Shape Solution Step 5 Circularity 2016 Western Digital Corporation or its affiliates. All rights reserved. 24

25 Pattern Recognition New Technology Node Creation Memory Hole Shape Solution Step 6 Curvature 2016 Western Digital Corporation or its affiliates. All rights reserved. 25

26 Pattern Recognition New Technology Node Creation Memory Hole Shape Solution Step 7 & More Diameter Radius Inner & Outer Patterns detection Sub-pattern detection 3D NAND All known AI/ML techniques Published or in Open Source (leading edge) applied/used 2016 Western Digital Corporation or its affiliates. All rights reserved. 26

27 Artificial Intelligence value creation Second Class of problems Failure Pattern Recognition & Machine learning 2016 Western Digital Corporation or its affiliates. All rights reserved. 27

28 Pattern Recognition Shot Map Millions of Wafer Failure Patterns Class Western Digital Corporation or its affiliates. All rights reserved. 28

29 Pattern Recognition Heat Map Millions of Wafer Failure Patterns Class Western Digital Corporation or its affiliates. All rights reserved. 29

30 Pattern Recognition Millions of Wafer Failure Patterns Modes Class Western Digital Corporation or its affiliates. All rights reserved. 30

31 Pattern Recognition Millions of Wafer Failure Patterns Modes Class Western Digital Corporation or its affiliates. All rights reserved. 31

32 Pattern Recognition Zone (AI/ML) Analysis Millions of Wafer Failure Patterns Modes Class Western Digital Corporation or its affiliates. All rights reserved. 32

33 Pattern Recognition Failure Modes Stacked Complexity Millions of Wafer Failure Patterns Modes Class 2 Impact = (occurrence rate) x (defects rate) 2016 Western Digital Corporation or its affiliates. All rights reserved. 33

34 Pattern Recognition Millions of Wafer Failure Patterns Class Western Digital Corporation or its affiliates. All rights reserved. 34

35 Decision Tree and Random Forest Comparisons Millions of Wafer Failure Patterns Class Western Digital Corporation or its affiliates. All rights reserved. 35

36 Artificial Intelligence value creation Third Class of problems Machine learning & Correlations 2016 Western Digital Corporation or its affiliates. All rights reserved. 36

37 Correlations in Single Factor Machine Learning problems Class Western Digital Corporation or its affiliates. All rights reserved. 37

38 Finding Nemo! Correlation and value (non-deterministic) Permutation and Combination of every known & unknown correlation Prep Manufacturing Data Measure Test Par 1 Screen Screen 1 Clean Height Par 2 Screen 2 Tool Config Film Oxide Par 3 Screen 3 ALO Cover Par 4 Screen 4 Wet Thickness Par 5 Screen Western Digital Corporation or its affiliates. All rights reserved. 38

39 Going Deeper into the Device Physics Data Heteroskedastic data Heteroskedastic: A measure in statistics that refers to the variance of errors over a sample. Heteroskedasticity is present in samples where random variables display differing variabilities than other subsets of the variables Western Digital Corporation or its affiliates. All rights reserved. 39

40 Studying the Complexity of Data 2016 Western Digital Corporation or its affiliates. All rights reserved. 40

41 Higher Orders of Complexity 2016 Western Digital Corporation or its affiliates. All rights reserved. 41

42 Challenges in manufacturing data (New technology Node Creation Material instability. Deformation, does not bond Material build-up Y4 Substrate over heating. Heat sink Resistive loss Deposition issues Thickness Warpage Wetting layers Oxidation Diffusion barrier DPPM known and unknown causes, complex error recovery Coupling effects, adjacent track interferences. Y3 Y Western Digital Corporation or its affiliates. All rights reserved. 42

43 Dealing with Heteroskedastic data - Challenges Machine learning model building requires constant optimization training and re-training with change. Ranking and weighting correlations for DPPM Page Rank Model. Linear regression versus Random forest What works for the data/value creation 2016 Western Digital Corporation or its affiliates. All rights reserved. 43

44 Key Take away: Internet of Machines- High Variability (Heteroskedastic, leading edge, higher order complexity in data) 2016 Western Digital Corporation or its affiliates. All rights reserved. 44

45 Bringing the possibilities of data to life Western Digital Corporation or its affiliates. All rights reserved.

46 Janet George Short Bio Janet George: Fellow/Chief Data Scientist Big Data Platform/Data Science/Cognitive Computing Background/Relevant Experience/Expertise At Western Digital Building global core competencies Shaping, driving, implementation of the Big Data platform Data Science, AI, Machine learning, Pattern recognition in Storage/Flash Memory manufacturing Industry Experience in Big Data Platform, Data Science/Cognitive Computing/ Artificial Intelligence /Machine learning and Distributed Computing/Compliers Prior served as Managing Director at Accenture technology labs Prior served as Head of Yahoo Labs/Research Engineering inventing Next Generation Platforms, Cloud Infrastructures and Data Science AI/Machine Learning ebay and Apple Computer Education: Bachelors and Advanced Master Degree with distinction in Computer Science, Mathematics, with a thesis focus on Artificial Intelligence and Machine learning.

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09 Study Number MA108-09 August 2009 Copyright Semico Research, 2009. All rights reserved. Reproduction in whole or part is prohibited without permission of Semico. The contents of this report represent

More information

Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report

Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report March 6, 2006 Samsung K9HAG08U1M-PCB0 16 Gbit MLC NAND Flash Structural Analysis Report For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process

MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process MagnaChip MC511DB 1.3 Megapixel CMOS Image Sensor 0.18 µm Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor

Sony IMX Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Sony IMX046 8.11 Megapixel, 1.4 µm Pixel 1/3.2 Optical Format CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Imager Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414

More information

Micron MT9T Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor

Micron MT9T Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor Micron MT9T111 3.1 Megapixel, ¼ Optical Format, 1.75 µm Pixel Size System-on-Chip (SOC) CMOS Image Sensor Imager Process Review with Optional TEM Analysis of SRAM For comments, questions, or more information

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process

Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Oki 2BM6143 Microcontroller Unit Extracted from Casio GW2500 Watch 0.25 µm CMOS Process Custom Process Review with TEM Analysis For comments, questions, or more information about this report, or for any

More information

Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis

Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis July 26, 2005 Samsung K4H510838C-UCCC 512Mbit DDR SDRAM Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings

Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Nikon 12.1 Mp CMOS Image Sensor from a D3s DSLR Camera with NC81361A Die Markings Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

LITE /LAB /SCAN /INLINE:

LITE /LAB /SCAN /INLINE: Metis Metis LITE /LAB /SCAN/ INLINE Metis LITE /LAB /SCAN /INLINE: Spectral Offline and Inline Measuring System, using Integrating Sphere, for coatings on foils/web and on large size glasses To ensure

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Sony IMX Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera

Sony IMX Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera Sony IMX147 20 Mp, 1.2 µm Pixel Pitch Back Illuminated (Exmor R) CMOS Image Sensor from the Sony Cyber-shot HX300 Digital Compact Camera Module 5: Substrate Dopant Analysis Sony IMX147 Back Illuminated

More information

OmniVision OV2640 1/4-Inch 2 Megapixel CMOS Image Sensor (OV253AI Die Markings) TSMC 0.13 µm Process

OmniVision OV2640 1/4-Inch 2 Megapixel CMOS Image Sensor (OV253AI Die Markings) TSMC 0.13 µm Process March 5, 2007 OmniVision OV2640 1/4-Inch 2 Megapixel CMOS Image Sensor (OV253AI Die Markings) TSMC 0.13 µm Process Imager Process Review For comments, questions, or more information about this report,

More information

Nanya elixir N2TU51280AF-37B 512 Mbit DDR2 SDRAM Structural Analysis

Nanya elixir N2TU51280AF-37B 512 Mbit DDR2 SDRAM Structural Analysis September 20, 2005 Nanya elixir N2TU51280AF-37B 512 Mbit DDR2 SDRAM Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning

More information

Toshiba TH58NVG2S3BTG00 4 Gbit NAND Flash Structural Analysis

Toshiba TH58NVG2S3BTG00 4 Gbit NAND Flash Structural Analysis July 5, 2005 Toshiba TH58NVG2S3BTG00 4 Gbit NAND Flash Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report October 13, 2006 Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report (with Optional TEM Analysis) For comments, questions, or more information about this report,

More information

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes EE 330 Lecture 12 Devices in Semiconductor Processes Diodes Guest Lecture: Joshua Abbott Non Volatile Product Engineer Micron Technology NAND Memory: Operation, Testing and Challenges Intro to Flash Memory

More information

LSI Logic LSI53C1030 PCI-X to Dual Channel Ultra320 SCSI Controller 0.18 µm CMOS Process

LSI Logic LSI53C1030 PCI-X to Dual Channel Ultra320 SCSI Controller 0.18 µm CMOS Process LSI Logic LSI53C13 PCI-X to Dual Channel Ultra32 SCSI Controller.18 µm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs

More information

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process

Texas Instruments Sitara XAM3715CBC Application Processor 45 nm UMC Low Power Process Texas Instruments Sitara XAM3715CBC Application Processor Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Sony IMX018 CMOS Image Sensor Imager Process Review

Sony IMX018 CMOS Image Sensor Imager Process Review September 6, 2006 Sony IMX018 CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Status and Prospect for MRAM Technology

Status and Prospect for MRAM Technology Status and Prospect for MRAM Technology Dr. Saied Tehrani Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium Stanford University Everspin Technologies, Inc. - 2010 Agenda

More information

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Critical Dimension Sample Planning for 300 mm Wafer Fabs 300 S mm P E C I A L Critical Dimension Sample Planning for 300 mm Wafer Fabs Sung Jin Lee, Raman K. Nurani, Ph.D., Viral Hazari, Mike Slessor, KLA-Tencor Corporation, J. George Shanthikumar, Ph.D., UC

More information

CSE 237A Winter 2018 Homework 1

CSE 237A Winter 2018 Homework 1 CSE 237A Winter 2018 Homework 1 Problem 1 [10 pts] a) As discussed in the lecture, ARM based systems are widely used in the embedded computing. Choose one embedded application and compare features (e.g.,

More information

FUJIFILM MS3897A CCD Image Sensor Imager Process Review

FUJIFILM MS3897A CCD Image Sensor Imager Process Review September 7, 2006 MS3897A CCD Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process Micron MT66R7072A10AB5ZZW 45 nm BiCMOS PCM Process Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum Barrier Engineering g Scaling Limitations of Flash Memory Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ Source Floating Gate NAND Device 1 Control gate ONO Floating gate Oxide Drain

More information

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera Imager Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Imager

More information

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS LUC VAN DEN HOVE President & CEO imec OUTLINE! Industry drivers! Roadmap extension! Lithography options! Innovation through global collaboration

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis

Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M 512 Megabit DDR2 SDRAM Structural Analysis February 23, 2007 Powerchip Semiconductor Corporation A3R12E3GEF G6E 635BLC4M Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

SanDisk uch2j, TWDS2M, and uch32005 Memory Controllers

SanDisk uch2j, TWDS2M, and uch32005 Memory Controllers SanDisk uch2j, TWDS2M, and uch32005 Memory Controllers Process Comparative Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Samsung K9F2G08U0M-YCB0 2Gbit NAND Flash Device Structural Analysis

Samsung K9F2G08U0M-YCB0 2Gbit NAND Flash Device Structural Analysis April 4, 2006 Samsung K9F2G08U0M-YCB0 2Gbit NAND Flash Device Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Spansion S29GL512N11TAI Mbit MirrorBit TM Flash Memory Structural Analysis

Spansion S29GL512N11TAI Mbit MirrorBit TM Flash Memory Structural Analysis March 5, 2007 Spansion S29GL512N11TAI02 512 Mbit MirrorBit TM Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis

Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis March 13, 2006 Microchip PIC18F4320-I/ML Enhanced Flash Microcontroller Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Olympus EVOLT E-410/Matsushita LiveMOS Image Sensor

Olympus EVOLT E-410/Matsushita LiveMOS Image Sensor Olympus EVOLT E-410/Matsushita Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Texas Instruments M Digital Micromirror Device (DMD)

Texas Instruments M Digital Micromirror Device (DMD) Texas Instruments 1910-612M Digital Micromirror Device (DMD) MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Samsung K9G8G08U0M-PCB0 8 Gbit MLC NAND Flash Structural Analysis

Samsung K9G8G08U0M-PCB0 8 Gbit MLC NAND Flash Structural Analysis November 6, 2006 Samsung K9G8G08U0M-PCB0 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

CMOSIS CMV Mp, 5.5 µm Pixel Pitch High-Speed Pipelined Global Shutter CMOS Image Sensor with Correlated Double Sampling

CMOSIS CMV Mp, 5.5 µm Pixel Pitch High-Speed Pipelined Global Shutter CMOS Image Sensor with Correlated Double Sampling CMOSIS CMV4000 4 Mp, 5.5 µm Pixel Pitch High-Speed Pipelined Global Shutter CMOS Image Sensor with Correlated Double Sampling Imager Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Application Note Model 765 Pulse Generator for Semiconductor Applications

Application Note Model 765 Pulse Generator for Semiconductor Applications Application Note Model 765 Pulse Generator for Semiconductor Applications Non-Volatile Memory Cells Characterization The trend of memory research is to develop a new memory called Non-Volatile RAM that

More information

What s after NAND? Report No. FI-NFL-3DM-0111

What s after NAND? Report No. FI-NFL-3DM-0111 Report No. FI-NFL-3DM-0111 January 2011 2011 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without prior written permission is

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Texas Instruments S W Digital Micromirror Device

Texas Instruments S W Digital Micromirror Device Texas Instruments S1076-6318W MEMS Process Review with Supplementary TEM Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200 Module 5: Substrate Dopant Analysis Nikon NC81369R CMOS Image Sensor from the Nikon D3200 2 Some of the information

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Sony IMX Mp, 4.8 µm Pixel Size APS-C (DX Format) CMOS Image Sensor from Nikon D7000. Module 5: Substrate Dopant Analysis

Sony IMX Mp, 4.8 µm Pixel Size APS-C (DX Format) CMOS Image Sensor from Nikon D7000. Module 5: Substrate Dopant Analysis Sony IMX071 16.2 Mp, 4.8 µm Pixel Size APS-C (DX Format) CMOS Image Sensor from Nikon D7000 Module 5: Substrate Dopant Analysis Sony IMX071 16.2 Mp, 4.8 µm Pixel Size, APS-C (DX Format) CMOS Image Sensor

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Overview. Charge-coupled Devices. MOS capacitor. Charge-coupled devices. Charge-coupled devices:

Overview. Charge-coupled Devices. MOS capacitor. Charge-coupled devices. Charge-coupled devices: Overview Charge-coupled Devices Charge-coupled devices: MOS capacitors Charge transfer Architectures Color Limitations 1 2 Charge-coupled devices MOS capacitor The most popular image recording technology

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Process Variation Aware DRAM (Dynamic Random Access Memory) Design Using Block- Based Adaptive Body Biasing Algorithm

Process Variation Aware DRAM (Dynamic Random Access Memory) Design Using Block- Based Adaptive Body Biasing Algorithm Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 9-2012 Process Variation Aware DRAM (Dynamic Random Access Memory) Design Using Block- Based Adaptive Body

More information

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Structural Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis

More information

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis February 7, 2006 Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

STA1600LN x Element Image Area CCD Image Sensor

STA1600LN x Element Image Area CCD Image Sensor ST600LN 10560 x 10560 Element Image Area CCD Image Sensor FEATURES 10560 x 10560 Photosite Full Frame CCD Array 9 m x 9 m Pixel 95.04mm x 95.04mm Image Area 100% Fill Factor Readout Noise 2e- at 50kHz

More information

Texas Instruments BRF6350B Bluetooth Link Controller UMC 90 nm RF CMOS

Texas Instruments BRF6350B Bluetooth Link Controller UMC 90 nm RF CMOS Texas Instruments BRF6350B UMC 90 nm RF CMOS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please

More information

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Provider of Advanced Lithography and Semiconductor Thin Film Services Noel Technologies Keith Best Biography Over the last 27 years, Keith Best has held a variety of semiconductor processing

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Memory (Part 1) RAM memory

Memory (Part 1) RAM memory Budapest University of Technology and Economics Department of Electron Devices Technology of IT Devices Lecture 7 Memory (Part 1) RAM memory Semiconductor memory Memory Overview MOS transistor recap and

More information

Peregrine Semiconductor PE4268 SP6T RF UltraCMOS TM Switch Structural Analysis

Peregrine Semiconductor PE4268 SP6T RF UltraCMOS TM Switch Structural Analysis September 21, 2005 Peregrine Semiconductor PE4268 Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Silicon Storage Technology SST39VF800A 8 Mbit Multi-Purpose Flash Memory Structural Analysis

Silicon Storage Technology SST39VF800A 8 Mbit Multi-Purpose Flash Memory Structural Analysis February 23, 2005 Silicon Storage Technology SST39VF800A 8 Mbit Multi-Purpose Flash Memory Structural Analysis For questions, comments, or more information about this report, or for any additional technical

More information

Sony PMW-F55 CineAlta 4K PMW Series HD Super 35 mm Digital Motion Camera with Global Shutter CMOS Image Sensor. Module 3: Planar Pixel Analysis

Sony PMW-F55 CineAlta 4K PMW Series HD Super 35 mm Digital Motion Camera with Global Shutter CMOS Image Sensor. Module 3: Planar Pixel Analysis Sony PMW-F55 CineAlta 4K PMW Series HD Super 35 mm Digital Motion Camera with Global Shutter CMOS Image Sensor Module 3: Planar Pixel Analysis Sony PMW-F55 CineAlta 4K HD Super 35 mm Digital Motion Camera

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

Akustica AKU2000 MEMS Microphone. MEMS Process Review

Akustica AKU2000 MEMS Microphone. MEMS Process Review Akustica AKU2000 MEMS Microphone MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call

More information